You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

1033 lines
41 KiB
Plaintext

WIDTH=32;
DEPTH=1024;
ADDRESS_RADIX=UNS;
DATA_RADIX=BIN;
CONTENT BEGIN
0 : 00110000000000000101000001110011;
1 : 00110000010000000001000001110011;
2 : 00000000000000000000000010111010;
3 : 00001110000000001000000010110010;
4 : 00110000010100001001000001110011;
5 : 10000000000000010000000100111010;
6 : 00011110100000010000000100110010;
7 : 10000000000000010000000110111010;
8 : 01111110010000011000000110110010;
9 : 00000000000000000000001000110010;
10 : 00000000000000000000001010110010;
11 : 00000000000000000000001100110010;
12 : 00000000000000000000001110110010;
13 : 00000000000000000000010000110010;
14 : 00000000000000000000010010110010;
15 : 00000000000000000000100000110010;
16 : 00000000000000000000100010110010;
17 : 00000000000000000000100100110010;
18 : 00000000000000000000100110110010;
19 : 00000000000000000000101000110010;
20 : 00000000000000000000101010110010;
21 : 00000000000000000000101100110010;
22 : 00000000000000000000101110110010;
23 : 00000000000000000000110000110010;
24 : 00000000000000000000110010110010;
25 : 00000000000000000000110100110010;
26 : 00000000000000000000110110110010;
27 : 00000000000000000000111000110010;
28 : 00000000000000000000111010110010;
29 : 00000000000000000000111100110010;
30 : 00000000000000000000111110110010;
31 : 00000000000000000001010110111010;
32 : 11110001110001011000010110110010;
33 : 10000000000000010000011000111010;
34 : 11110111110001100000011000110010;
35 : 10000000000000010000011010111010;
36 : 11110111010001101000011010110010;
37 : 00000000110001011000111001110001;
38 : 00000000110101100101110001110001;
39 : 00000000000001011010011100110000;
40 : 00000000111001100010000000110001;
41 : 00000000010001011000010110110010;
42 : 00000000010001100000011000110010;
43 : 11111110110111111111000001111101;
44 : 10000000000000010000011100111010;
45 : 11110101000001110000011100110010;
46 : 10000000100000011000011110110010;
47 : 00000000111101110101100001110001;
48 : 00000000000001110010000000110001;
49 : 00000000010001110000011100110010;
50 : 11111111010111111111000001111101;
51 : 00000000000000000000010100110010;
52 : 00000000000000000000010110110010;
53 : 00000110000000000000000011111101;
54 : 00110000010000000001000001110011;
55 : 00110100000001010001000001110011;
56 : 00010000010100000000000001110011;
57 : 00000000000000000000000001111101;
58 : 11111111100000010000000100110010;
59 : 00000000100000010010000000110001;
60 : 00000000100100010010001000110001;
61 : 00110100001000000010010001110011;
62 : 00000010000001000100011001110001;
63 : 00110100000100000010010001110011;
64 : 00000000000001000001010010110000;
65 : 00000000001101001111010010110010;
66 : 00000000001001000000010000110010;
67 : 00110100000101000001000001110011;
68 : 00000000001100000000010000110010;
69 : 00000000100101000001100001110001;
70 : 00110100000100000010010001110011;
71 : 00000000001001000000010000110010;
72 : 00110100000101000001000001110011;
73 : 00000000000000010010010000110000;
74 : 00000000010000010010010010110000;
75 : 00000000100000010000000100110010;
76 : 00110000001000000000000001110011;
77 : 11111011000000010000000100110010;
78 : 00000100100100010010001000110001;
79 : 10000000000000000000010010111011;
80 : 00000000000001001010001000110001;
81 : 10000000000000000000011110111011;
82 : 00000000000001111010000000110001;
83 : 11111111111111110000011110111011;
84 : 00000100000100010010011000110001;
85 : 00000100100000010010010000110001;
86 : 00000101001000010010000000110001;
87 : 00000011001100010010111000110001;
88 : 00000011010000010010110000110001;
89 : 00000011010100010010101000110001;
90 : 00000011011000010010100000110001;
91 : 00000011011100010010011000110001;
92 : 00000011100000010010010000110001;
93 : 00000011100100010010001000110001;
94 : 00000011101000010010000000110001;
95 : 00000001101100010010111000110001;
96 : 01111001110001111000011110110010;
97 : 00110000010101111001000001110011;
98 : 11111110100000000010011110110000;
99 : 00000000000010000000011100111011;
100 : 00000000111001111111011110110011;
101 : 00000000000001111000100001110001;
102 : 11111010000000000010010000110001;
103 : 01000000000100000000011110110010;
104 : 11111010111100000010010000110001;
105 : 11111110100000000010011110110000;
106 : 01000000000000000000011100111011;
107 : 00000000111001111111011110110011;
108 : 00000110000001111000100001110001;
109 : 11110100000000000010000000110001;
110 : 11110100000000000010010000110001;
111 : 00000000000000000110011110111011;
112 : 11110100000000000010011000110001;
113 : 00100000010101111000011110110010;
114 : 11110100111100000010000000110001;
115 : 11110100000000000010010000110001;
116 : 11110100000000000010011000110001;
117 : 11110100000000000010011110110000;
118 : 00000000111001111111011110110011;
119 : 11111110000001111001110011110001;
120 : 11110100000000000010011110110000;
121 : 00000010000000000000011100111011;
122 : 00000000111001111110011110110011;
123 : 11110100111100000010000000110001;
124 : 11110100000000000010011110110000;
125 : 00001000000000000000011100111011;
126 : 00000000111001111110011110110011;
127 : 11110100111100000010000000110001;
128 : 11110100000000000010011110110000;
129 : 11111110000111111110011100111011;
130 : 01000011111101110000011100110010;
131 : 00000000111001111111011110110011;
132 : 00000000100000000001011100111011;
133 : 01100000000001110000011100110010;
134 : 00000000111001111110011110110011;
135 : 11110100111100000010000000110001;
136 : 11111110100000000010011110110000;
137 : 00000000000000010000011100111011;
138 : 00000000111001111111011110110011;
139 : 00000000000001111000100001110001;
140 : 00000000000100000000011110110010;
141 : 11111100111100000010010000110001;
142 : 11111100000000000010011000110001;
143 : 11111010000000000010000000110001;
144 : 11111110000000000010011010110000;
145 : 00000000000000001001011110111011;
146 : 11111111111111110111011000111011;
147 : 00000000000000000000011100110010;
148 : 01011111111101111000011110110010;
149 : 10100000000001100000011000110010;
150 : 00011110110101111110001001110001;
151 : 00000000000000000001011010111011;
152 : 00000000000000000000011110110010;
153 : 11111111111001101000011010110010;
154 : 00011110111001101110010001110001;
155 : 11111111111101110000011100110010;
156 : 00000001100001111001011110110010;
157 : 00000000111001111110011110110011;
158 : 00010000000000000000011100111011;
159 : 00000000111001111110011110110011;
160 : 11111010111100000010000000110001;
161 : 11111110100000000010011110110000;
162 : 00000000000000100000011100111011;
163 : 00000000111001111111011110110011;
164 : 00000010000001111000011001110001;
165 : 11111000000000000010100000110001;
166 : 11111000000000000010101000110001;
167 : 11111110000000000010011110110000;
168 : 00000000001001111101011110110010;
169 : 11111000111100000010110000110001;
170 : 11111000000000000010111000110001;
171 : 00001000000000000000011110110010;
172 : 00110000010001111001000001110011;
173 : 00000000100000000000011110110010;
174 : 00110000000001111010000001110011;
175 : 11111111111111110001010100111011;
176 : 11011001010001010000010100110010;
177 : 01000010010000000000000011111101;
178 : 11110001001100000010010101110011;
179 : 00111010100000000000000011111101;
180 : 11111111111111110001010100111011;
181 : 11011100110001010000010100110010;
182 : 01000001000000000000000011111101;
183 : 11111110010000000010010100110000;
184 : 00111001010000000000000011111101;
185 : 11111111111111110001010100111011;
186 : 11011101010001010000010100110010;
187 : 00111111110000000000000011111101;
188 : 11111110000000000010010100110000;
189 : 00111000000000000000000011111101;
190 : 11111111111111110001010100111011;
191 : 11011101110001010000010100110010;
192 : 00111110100000000000000011111101;
193 : 00110000000100000010010101110011;
194 : 00110110110000000000000011111101;
195 : 11111111111111110001010100111011;
196 : 11011110010001010000010100110010;
197 : 00111101010000000000000011111101;
198 : 11111100000000000010010101110011;
199 : 00110101100000000000000011111101;
200 : 11111111111111110001010100111011;
201 : 11011110100001010000010100110010;
202 : 00111100000000000000000011111101;
203 : 11111110100000000010010100110000;
204 : 11111111111111110001010000111011;
205 : 00110100000000000000000011111101;
206 : 11111111111111110001010100111011;
207 : 11011111000001010000010100110010;
208 : 00111010100000000000000011111101;
209 : 11111111100000000010010100110000;
210 : 00110010110000000000000011111101;
211 : 11011111100001000000010100110010;
212 : 00111001100000000000000011111101;
213 : 11111111000000000010010100110000;
214 : 00110001110000000000000011111101;
215 : 11111111111111110001010100111011;
216 : 11100000010001010000010100110010;
217 : 00111000010000000000000011111101;
218 : 11111111110000000010010100110000;
219 : 00110000100000000000000011111101;
220 : 11011111100001000000010100110010;
221 : 00110111010000000000000011111101;
222 : 11111111010000000010010100110000;
223 : 00101111100000000000000011111101;
224 : 11111110100000000010011110110000;
225 : 00000000000000100000011100111011;
226 : 00000000111001111111011110110011;
227 : 00000100000001111000110001110001;
228 : 11111111111111110001010100111011;
229 : 11100000110001010000010100110010;
230 : 00110101000000000000000011111101;
231 : 00101010110000000000000011111101;
232 : 11111110000000000010010000110000;
233 : 00000000000001000000100110111011;
234 : 00000000000000000010101000111011;
235 : 00000000001101000001010000110010;
236 : 00000000101001000000100100110011;
237 : 00000000100010010011010000110011;
238 : 00000000101101000000010000110011;
239 : 11111110100000000010011110110000;
240 : 00000001001101111111011110110011;
241 : 00001010000001111000100001110001;
242 : 11111010000000000010011110110000;
243 : 00000001010001111111011110110011;
244 : 00001010000001111001001001110001;
245 : 11111111111111110001010100111011;
246 : 11111010010000000010011110110000;
247 : 11100011100001010000010100110010;
248 : 00110000100000000000000011111101;
249 : 11111111111111110001100110111011;
250 : 11100100010010011000010100110010;
251 : 00101111110000000000000011111101;
252 : 00000110110000000000101000110010;
253 : 00000111100000000000101110110010;
254 : 00000111001100000000110000110010;
255 : 00000110010100000000110010110010;
256 : 11111111111111110001011110111011;
257 : 11101100010001111000010100110010;
258 : 00101110000000000000000011111101;
259 : 11111010010000000010010000110000;
260 : 11111110000001000101111011110001;
261 : 00001111111101000111010000110010;
262 : 00000000000001000000010100110010;
263 : 00100100000000000000000011111101;
264 : 11111111111111110001011110111011;
265 : 11011001000001111000010100110010;
266 : 00101100000000000000000011111101;
267 : 00000111001000000000011110110010;
268 : 00000110111101000001100001110001;
269 : 11111111111111110000001010111011;
270 : 00000000000000101000000001111001;
271 : 00000000000101110000011100110010;
272 : 00000001000001110001011100110010;
273 : 00000000110001101000011010110011;
274 : 00000001000001110101011100110010;
275 : 11100000110111111111000001111101;
276 : 11111111111001111000011000110010;
277 : 00001111110101100111011000110010;
278 : 00000000000001100001101001110001;
279 : 00000000001101110101011100110010;
280 : 00000000000101111000011110110010;
281 : 00001111111101111111011110110010;
282 : 11100000000111111111000001111101;
283 : 00000000000101110101011100110010;
284 : 11111111000111111111000001111101;
285 : 00011101010000000000000011111101;
286 : 11110100100001011110001011110001;
287 : 00000000101101000001010001110001;
288 : 11110011001001010110111011110001;
289 : 00000000000100000000010100110010;
290 : 01100101110000000000000011111101;
291 : 11111111111111110001010100111011;
292 : 11011001000001010000010100110010;
293 : 00100101010000000000000011111101;
294 : 00000000000000000000010100110010;
295 : 00000011110100000000000011111101;
296 : 00010111010001000000110001110001;
297 : 00000010100010100110010001110001;
298 : 00010111100101000000110001110001;
299 : 00000110100000000000011110110010;
300 : 11100100010010011000010100110010;
301 : 00000010111101000000110001110001;
302 : 00000011111100000000011110110010;
303 : 00011000111101000000000001110001;
304 : 11111111111111110001010100111011;
305 : 11110110100001010000010100110010;
306 : 00000010010000000000000001111101;
307 : 00000111010100000000011110110010;
308 : 00000010111101000000001001110001;
309 : 00010111011101000000000001110001;
310 : 11111111100001000001010011110001;
311 : 00000000010001001010010000110000;
312 : 00000010000001000001000001110001;
313 : 11111111111111110001010100111011;
314 : 11101100110001010000010100110010;
315 : 00011111110000000000000011111101;
316 : 11110001000111111111000001111101;
317 : 00000000000000000000010100110010;
318 : 01011110110000000000000011111101;
319 : 11110000010111111111000001111101;
320 : 11111111111111110001010100111011;
321 : 11101110100001010000010100110010;
322 : 00011110000000000000000011111101;
323 : 00000000000001000000010100110010;
324 : 00010110010000000000000011111101;
325 : 11111111111111110001010100111011;
326 : 11101111000001010000010100110010;
327 : 00011100110000000000000011111101;
328 : 00000000010000000000010100111011;
329 : 00010101000000000000000011111101;
330 : 11111111111111110001010100111011;
331 : 11110000100001010000010100110010;
332 : 00011011100000000000000011111101;
333 : 11111010010000000010100100110000;
334 : 11111110000010010101111011110001;
335 : 00001111111110010111100100110010;
336 : 00000000000010010000010100110010;
337 : 00010001100000000000000011111101;
338 : 00000111100100000000011110110010;
339 : 11101010111110010001101011110001;
340 : 01010101000000000000000011111101;
341 : 00000000000001010000011001110001;
342 : 00000000001100000000010100110010;
343 : 00011110010000000000000011111101;
344 : 11111111111111110001010100111011;
345 : 11110001010001010000010100110010;
346 : 00011000000000000000000011111101;
347 : 00000001000001000101101100110010;
348 : 00000000010000000000100100111011;
349 : 00000000000000010000110110111011;
350 : 11111111111100000000110100110010;
351 : 01001101000000000000000011111101;
352 : 01000000000000000000000011111101;
353 : 00001101100000000000010100110010;
354 : 00111010100000000000000011111101;
355 : 00000000000010010000010100110010;
356 : 00111011010000000000000011111101;
357 : 00111000100000000000000011111101;
358 : 01001101010000000000000011111101;
359 : 00000000000101010111101010110010;
360 : 11111110000010101001110011110001;
361 : 11111111111110110000101100110010;
362 : 00000001101110010000100100110011;
363 : 11111101101010110001100011110001;
364 : 11111111000000000010011010110000;
365 : 00000000010000000000100100111011;
366 : 00000000000000000000110100110010;
367 : 00000000110010010000110110110010;
368 : 00000000110111010000011100110011;
369 : 00000000000001110010010110110000;
370 : 00000001101111010000010100110011;
371 : 00000000110100010010011000110001;
372 : 00000000101110101000101010110011;
373 : 00000000010011010000110100110010;
374 : 01100110110000000000000011111101;
375 : 00000000110000010010011010110000;
376 : 11111110100011010110000011110001;
377 : 01000111100010001101010110111011;
378 : 10101111111001011000010110110010;
379 : 00000000010000000000010100111011;
380 : 01100101010000000000000011111101;
381 : 00000000000001000000010110110010;
382 : 00000000010010010000010100110010;
383 : 01100100100000000000000011111101;
384 : 00000000100010010000010100110010;
385 : 01000001010100000000010110110011;
386 : 01100011110000000000000011111101;
387 : 11111111111111110001010100111011;
388 : 11010111100001010000010100110010;
389 : 11101101100111111111000001111101;
390 : 00000000000100000000010100110010;
391 : 11101101110111111111000001111101;
392 : 00000000010001001010011110110000;
393 : 11100110000001111001101011110001;
394 : 11111111111111110001010100111011;
395 : 11110010010001010000010100110010;
396 : 11101011110111111111000001111101;
397 : 00000000000100000000010100110010;
398 : 11100110010111111111000001111101;
399 : 11111111111111110001010100111011;
400 : 11110011010001010000010100110010;
401 : 11101010100111111111000001111101;
402 : 11111001010000000010010110110000;
403 : 11111001000000000010010100110000;
404 : 11111001010000000010011110110000;
405 : 11111110111101011001101011110001;
406 : 00000000000000001000000001111001;
407 : 00000000000001000000011100111011;
408 : 11111010000000000010011110110000;
409 : 00000000111001111111011110110011;
410 : 11111110000001111001110011110001;
411 : 11111010101000000010001000110001;
412 : 00000000000000001000000001111001;
413 : 11111110000000010000000100110010;
414 : 00000001001000010010100000110001;
415 : 00000000000001010000100100110010;
416 : 00000011000000000000010100110010;
417 : 00000000000100010010111000110001;
418 : 00000000100000010010110000110001;
419 : 00000000100100010010101000110001;
420 : 00000001001100010010011000110001;
421 : 11111100100111111111000011111101;
422 : 00000111100000000000010100110010;
423 : 11111111111111110001010010111011;
424 : 11111011110111111111000011111101;
425 : 00000001110000000000010000110010;
426 : 11110111010001001000010010110010;
427 : 11111111110000000000100110110010;
428 : 00000000100010010101011110110011;
429 : 00000000111101111111011110110010;
430 : 00000000111101001000011110110011;
431 : 00000000000001111100010100110000;
432 : 11111111110001000000010000110010;
433 : 11111001100111111111000011111101;
434 : 11111111001101000001010011110001;
435 : 00000001110000010010000010110000;
436 : 00000001100000010010010000110000;
437 : 00000001010000010010010010110000;
438 : 00000001000000010010100100110000;
439 : 00000000110000010010100110110000;
440 : 00000010000000010000000100110010;
441 : 00000000000000001000000001111001;
442 : 11111111000000010000000100110010;
443 : 00000000100000010010010000110001;
444 : 00000001001000010010000000110001;
445 : 00000000000100010010011000110001;
446 : 00000000100100010010001000110001;
447 : 00000000000001010000010000110010;
448 : 00000000101000000000100100110010;
449 : 00000000000001000100010010110000;
450 : 00000000000101000000010000110010;
451 : 00000000000001001001111001110001;
452 : 00000000110000010010000010110000;
453 : 00000000100000010010010000110000;
454 : 00000000010000010010010010110000;
455 : 00000000000000010010100100110000;
456 : 00000001000000010000000100110010;
457 : 00000000000000001000000001111001;
458 : 00000001001001001001011001110001;
459 : 00000000110100000000010100110010;
460 : 11110010110111111111000011111101;
461 : 00000000000001001000010100110010;
462 : 11110010010111111111000011111101;
463 : 11111100100111111111000001111101;
464 : 11111111000000010000000100110010;
465 : 00000000100000010010010000110001;
466 : 00000000000001010000010000110010;
467 : 11111111111111110001010100111011;
468 : 11010011000001010000010100110010;
469 : 00000000000100010010011000110001;
470 : 11111001000111111111000011111101;
471 : 00000000001001000001011110110010;
472 : 11111111111111110001010100111011;
473 : 00000000100001111000011110110011;
474 : 11111000010001010000010100110010;
475 : 00000000111101010000010100110011;
476 : 11110111100111111111000011111101;
477 : 00000000100000000000011110110010;
478 : 00110000000001111011000001110011;
479 : 11111110100000000010011110110000;
480 : 00000000000000010000011100111011;
481 : 00000000111001111111011110110011;
482 : 00000000000001111000100001110001;
483 : 00000000000100000000011110110010;
484 : 11111100111100000010010000110001;
485 : 11111100000000000010011000110001;
486 : 00000000000000000000000001111101;
487 : 11111011000000010000000100110010;
488 : 00000100000100010010011000110001;
489 : 00000100010100010010010000110001;
490 : 00000100011000010010001000110001;
491 : 00000100011100010010000000110001;
492 : 00000010100000010010111000110001;
493 : 00000010100100010010110000110001;
494 : 00000010101000010010101000110001;
495 : 00000010101100010010100000110001;
496 : 00000010110000010010011000110001;
497 : 00000010110100010010010000110001;
498 : 00000010111000010010001000110001;
499 : 00000010111100010010000000110001;
500 : 00000001000000010010111000110001;
501 : 00000001000100010010110000110001;
502 : 00000001110000010010101000110001;
503 : 00000001110100010010100000110001;
504 : 00000001111000010010011000110001;
505 : 00000001111100010010010000110001;
506 : 00110100001000000010010011110011;
507 : 10000000000000000000011110111011;
508 : 00000000011101111000011110110010;
509 : 00001010111101001001011001110001;
510 : 11111110100000000010011110110000;
511 : 00000000000000010000011100111011;
512 : 00000000111001111111011110110011;
513 : 00000000000001111000100001110001;
514 : 11111100100000000010011110110000;
515 : 00000000000101111100011110110010;
516 : 11111100111100000010010000110001;
517 : 11111110100000000010011110110000;
518 : 00000000000000100000011100111011;
519 : 00000000111001111111011110110011;
520 : 00000010000001111000100001110001;
521 : 11100010010111111111000011111101;
522 : 11111110000000000010011110110000;
523 : 11111111111100000000011100110010;
524 : 11111000111000000010110000110001;
525 : 00000000001001111101011110110010;
526 : 00000000101001111000010100110011;
527 : 00000000111101010011011110110011;
528 : 00000000101101111000011110110011;
529 : 11111000111100000010111000110001;
530 : 11111000101000000010110000110001;
531 : 00000000000000000000000000110010;
532 : 00000011110000010010010000110000;
533 : 00000100110000010010000010110000;
534 : 00000100100000010010001010110000;
535 : 00000100010000010010001100110000;
536 : 00000100000000010010001110110000;
537 : 00000011100000010010010010110000;
538 : 00000011010000010010010100110000;
539 : 00000011000000010010010110110000;
540 : 00000010110000010010011000110000;
541 : 00000010100000010010011010110000;
542 : 00000010010000010010011100110000;
543 : 00000010000000010010011110110000;
544 : 00000001110000010010100000110000;
545 : 00000001100000010010100010110000;
546 : 00000001010000010010111000110000;
547 : 00000001000000010010111010110000;
548 : 00000000110000010010111100110000;
549 : 00000000100000010010111110110000;
550 : 00000101000000010000000100110010;
551 : 00110000001000000000000001110011;
552 : 00000000011100000000011110110010;
553 : 00000000111101001001110001110001;
554 : 10000000000000000000011110111011;
555 : 00000000000001111010011110110000;
556 : 00000000000001111000011001110001;
557 : 00000000000100000000010100110010;
558 : 11101000100111111111000011111101;
559 : 00110100000100000010010001110011;
560 : 11111110100000000010011110110000;
561 : 00000000000001000000011100111011;
562 : 00000000111001111111011110110011;
563 : 00000100000001111000001001110001;
564 : 11111111111111110001010100111011;
565 : 11010011100001010000010100110010;
566 : 11100001000111111111000011111101;
567 : 00000000000001001000010100110010;
568 : 11011001010111111111000011111101;
569 : 00000010000000000000010100110010;
570 : 11010111010111111111000011111101;
571 : 00000000000001000000010100110010;
572 : 11011000010111111111000011111101;
573 : 00000010000000000000010100110010;
574 : 11010110010111111111000011111101;
575 : 00110100001100000010010101110011;
576 : 11010111010111111111000011111101;
577 : 11111111111111110001010100111011;
578 : 11011001000001010000010100110010;
579 : 11011101110111111111000011111101;
580 : 00000000010001000000010000110010;
581 : 00110100000101000001000001110011;
582 : 11110011100111111111000001111101;
583 : 11111010100000000000011100110010;
584 : 00000000000001110010011110110000;
585 : 11101111111101111111011110110010;
586 : 00000000111101110010000000110001;
587 : 00000000000000001000000001111001;
588 : 11111010101000000010011000110001;
589 : 11111010100000000010011110110000;
590 : 11111110000001111100111011110001;
591 : 11111010110000000010010100110000;
592 : 00000000000000001000000001111001;
593 : 11111111000000010000000100110010;
594 : 00000000100000010010010000110001;
595 : 00000000000001010000010000110010;
596 : 00000001000001010101010100110010;
597 : 00001111111101010111010100110010;
598 : 00000000000100010010011000110001;
599 : 11111101010111111111000011111101;
600 : 00000000100001000101010100110010;
601 : 00001111111101010111010100110010;
602 : 11111100100111111111000011111101;
603 : 00001111111101000111010100110010;
604 : 00000000100000010010010000110000;
605 : 00000000110000010010000010110000;
606 : 00000001000000010000000100110010;
607 : 11111011010111111111000001111101;
608 : 11111010100000000000011100110010;
609 : 00000000000001110010011110110000;
610 : 11100001111101111111011110110010;
611 : 00010000000001111110011110110010;
612 : 00000000111101110010000000110001;
613 : 00000000000000001000000001111001;
614 : 11111101000000010000000100110010;
615 : 00000010100000010010010000110001;
616 : 00000011001000010010000000110001;
617 : 00000001001100010010111000110001;
618 : 00000001010000010010110000110001;
619 : 00000010000100010010011000110001;
620 : 00000010100100010010001000110001;
621 : 00000000000001010000100110110010;
622 : 00000000000001011000100100110010;
623 : 00000000000000000000010000110010;
624 : 00000000010000000000101000110010;
625 : 00000100000010011001010001110001;
626 : 00000000010000000000011100110010;
627 : 11111010010000000010011110110000;
628 : 11111110000001111101111011110001;
629 : 00000000110000010000011010110010;
630 : 00000000100001101000011010110011;
631 : 00000000111101101000000000110001;
632 : 00000000000101000000010000110010;
633 : 11111110111001000001010011110001;
634 : 00000010110000010010000010110000;
635 : 00000010100000010010010000110000;
636 : 00000000110000010010010100110000;
637 : 00000010010000010010010010110000;
638 : 00000010000000010010100100110000;
639 : 00000001110000010010100110110000;
640 : 00000001100000010010101000110000;
641 : 00000011000000010000000100110010;
642 : 00000000000000001000000001111001;
643 : 11110111010111111111000011111101;
644 : 00000000001100000000010100110010;
645 : 00000001001001000000010010110011;
646 : 11110001100111111111000011111101;
647 : 00000000000001001000010100110010;
648 : 11110010010111111111000011111101;
649 : 00000000000000000000010100110010;
650 : 11110000100111111111000011111101;
651 : 00000000000001010000010010110010;
652 : 11101110110111111111000011111101;
653 : 00000000110000010000011110110010;
654 : 00000000100001111000011110110011;
655 : 00000000100101111000000000110001;
656 : 00000000000101000000010000110010;
657 : 11111001010001000001000011110001;
658 : 11111010000111111111000001111101;
659 : 11111111000000010000000100110010;
660 : 00000000000100010010011000110001;
661 : 11110010110111111111000011111101;
662 : 00000000011000000000010100110010;
663 : 11101101010111111111000011111101;
664 : 00000000110000010010000010110000;
665 : 00000001000000010000000100110010;
666 : 11101011010111111111000001111101;
667 : 11111110000000010000000100110010;
668 : 00000000000100010010111000110001;
669 : 11110000110111111111000011111101;
670 : 00000000010100000000010100110010;
671 : 11101011010111111111000011111101;
672 : 00000000000000000000010100110010;
673 : 11101010110111111111000011111101;
674 : 00000000101000010010011000110001;
675 : 11101001000111111111000011111101;
676 : 00000001110000010010000010110000;
677 : 00000000110000010010010100110000;
678 : 00000010000000010000000100110010;
679 : 00000000000000001000000001111001;
680 : 11111111000000010000000100110010;
681 : 00000000000100010010011000110001;
682 : 11111010010111111111000011111101;
683 : 11111100000111111111000011111101;
684 : 00000000001001010111011110110010;
685 : 11111111111100000000010100110010;
686 : 00000010000001111000000001110001;
687 : 11101100010111111111000011111101;
688 : 00000000010000000000010100110010;
689 : 11100110110111111111000011111101;
690 : 11100101010111111111000011111101;
691 : 11111010000111111111000011111101;
692 : 00000001111001010001010100110010;
693 : 01000001111101010101010100110010;
694 : 00000000110000010010000010110000;
695 : 00000001000000010000000100110010;
696 : 00000000000000001000000001111001;
697 : 11111101000000010000000100110010;
698 : 00000001011000010010100000110001;
699 : 00000000000100000000011110110010;
700 : 10000000000000000000101100111011;
701 : 00000010100000010010010000110001;
702 : 00000010000100010010011000110001;
703 : 00000010100100010010001000110001;
704 : 00000011001000010010000000110001;
705 : 00000001001100010010111000110001;
706 : 00000001010000010010110000110001;
707 : 00000001010100010010101000110001;
708 : 00000001011100010010011000110001;
709 : 00000001100000010010010000110001;
710 : 00000000111110110010000000110001;
711 : 00000000000001010000010000110010;
712 : 00000010000001010001100001110001;
713 : 11111111111111110001010100111011;
714 : 11010100010001010000010100110010;
715 : 10111011110111111111000011111101;
716 : 00000000010000000000010110111011;
717 : 00000000000001000000010100110010;
718 : 11100110000111111111000011111101;
719 : 01000111100010001101011110111011;
720 : 10101111111001111000011110110010;
721 : 00000100111101010000100001110001;
722 : 00000000000000000000010100110010;
723 : 00000011100000000000000001111101;
724 : 11111111111111110001010100111011;
725 : 11010110010001010000010100110010;
726 : 10111001000111111111000011111101;
727 : 00000000010000000000010100111011;
728 : 10110001010111111111000011111101;
729 : 11111111111111110001010100111011;
730 : 11010111000001010000010100110010;
731 : 10110111110111111111000011111101;
732 : 11111110100000000010011110110000;
733 : 00000000000010000000011100111011;
734 : 00000000111001111111011110110011;
735 : 00000000000001111001011001110001;
736 : 00000000001100000000010100110010;
737 : 10111011110111111111000011111101;
738 : 11110001100111111111000011111101;
739 : 11111010000001010000001011110001;
740 : 11111111000111111111000001111101;
741 : 00000000010000000000100110111011;
742 : 00000000010010011000010110110010;
743 : 00000000000001000000010100110010;
744 : 11011111100111111111000011111101;
745 : 00000000000001010000101000110010;
746 : 00000000100010011000010110110010;
747 : 00000000000001000000010100110010;
748 : 11011110100111111111000011111101;
749 : 11111111000000000010110000110000;
750 : 00000000000001010000101010110010;
751 : 11111111110010100111101110110010;
752 : 00000000000000000000100100110010;
753 : 00000000000000000000010010110010;
754 : 00000000110010011000100110110010;
755 : 00000001001110010000010110110011;
756 : 00000101011110010001110001110001;
757 : 00000001010101001000010010110011;
758 : 00000000001000000000010100110010;
759 : 11111010000001001001010011110001;
760 : 11111111111111110001010100111011;
761 : 11010111100001010000010100110010;
762 : 10110000000111111111000011111101;
763 : 00000010110000010010000010110000;
764 : 00000010100000010010010000110000;
765 : 10000000000000000000011110111011;
766 : 00000001010001111010001000110001;
767 : 00000000000010110010000000110001;
768 : 00000010010000010010010010110000;
769 : 00000010000000010010100100110000;
770 : 00000001110000010010100110110000;
771 : 00000001100000010010101000110000;
772 : 00000001010000010010101010110000;
773 : 00000001000000010010101100110000;
774 : 00000000110000010010101110110000;
775 : 00000000100000010010110000110000;
776 : 00000011000000010000000100110010;
777 : 00000000000000001000000001111001;
778 : 00000000000001000000010100110010;
779 : 11010110110111111111000011111101;
780 : 00000001001011000000011110110011;
781 : 00000000101001001000010010110011;
782 : 00000000101001111010000000110001;
783 : 00000000010010010000100100110010;
784 : 11111000110111111111000001111101;
785 : 11111101000000010000000100110010;
786 : 00000010100000010010010000110001;
787 : 00000010100100010010001000110001;
788 : 00000001001100010010111000110001;
789 : 00000010000100010010011000110001;
790 : 00000011001000010010000000110001;
791 : 00000001010000010010110000110001;
792 : 00000000000001010000010010110010;
793 : 00000000101100010010011000110001;
794 : 00000000000000000000010000110010;
795 : 00000000010000000000100110110010;
796 : 00000000110000010000011110110010;
797 : 00000000100001111000011110110011;
798 : 00000000000001111100101000110000;
799 : 11011101000111111111000011111101;
800 : 11010000000111111111000011111101;
801 : 00000000001000000000010100110010;
802 : 11001010100111111111000011111101;
803 : 00000000100001001000100100110011;
804 : 00000000000010010000010100110010;
805 : 11001011000111111111000011111101;
806 : 00000000000010100000010100110010;
807 : 11001001010111111111000011111101;
808 : 11000111110111111111000011111101;
809 : 11011100100111111111000011111101;
810 : 00000000000101010111010100110010;
811 : 11111110000001010001110011110001;
812 : 00000000000101000000010000110010;
813 : 11111011001101000001111011110001;
814 : 00000010110000010010000010110000;
815 : 00000010100000010010010000110000;
816 : 00000010010000010010010010110000;
817 : 00000010000000010010100100110000;
818 : 00000001110000010010100110110000;
819 : 00000001100000010010101000110000;
820 : 00000011000000010000000100110010;
821 : 00000000000000001000000001111001;
822 : 11111111000000010000000100110010;
823 : 00000000000100010010011000110001;
824 : 00000000100000010010010000110001;
825 : 00000000100000000000011110110010;
826 : 00110000000001111011000001110011;
827 : 11111111000000000010010000110000;
828 : 00000000000001010000010001110001;
829 : 01000000010000000000010000111011;
830 : 11111111111111110001010100111011;
831 : 11010111110001010000010100110010;
832 : 10011110100111111111000011111101;
833 : 00000000000001000000010100110010;
834 : 10010110110111111111000011111101;
835 : 11111111111111110001010100111011;
836 : 11011000110001010000010100110010;
837 : 10011101010111111111000011111101;
838 : 00000000000000010000011100111011;
839 : 11111010000000000010011110110000;
840 : 11111110000001111100111011110001;
841 : 00000000111001111111011110110011;
842 : 11111110000001111000101011110001;
843 : 00000000000001000000000011111001;
844 : 01010010010001010000101000111000;
845 : 00000000000000000101111101010010;
846 : 01010010010100100100010100010100;
847 : 01000011010110000100010101111110;
848 : 00000000000000000000000000000001;
849 : 01101001011000010111011101100000;
850 : 01100111011011100110100101001011;
851 : 01101111011001010110111000000001;
852 : 00110010001100110111011001010011;
853 : 01100101011110000110010101111110;
854 : 01101110011010010110001000011101;
855 : 00100000001011100010111000011101;
856 : 00000000000000000000000000000000;
857 : 01100100011000010110111101001100;
858 : 00100000011001110110111001100101;
859 : 00000000000000000100000000000101;
860 : 00101110001011100010111000100101;
861 : 00000000000000000000000000010100;
862 : 00000000000000000100101101111100;
863 : 01110100011011110110111101010000;
864 : 00100000011001110110111001100101;
865 : 01101101011011110111001001011001;
866 : 00000000000000000000000000000001;
867 : 00001010001011100010111000011101;
868 : 00000000000000000000000000010100;
869 : 00111100000010100000101000010100;
870 : 01000101010011100010000000001111;
871 : 00110011010101100101001001111100;
872 : 01101111010000100010000000010011;
873 : 01101111011011000111010001111101;
874 : 01110010011001010110010001100001;
875 : 00001010001111100011111000000001;
876 : 01000100010011000100001000010100;
877 : 01001010001000000011101001011010;
878 : 00110010001000000110111001100001;
879 : 00110000001100100010000000100011;
880 : 01001000000010100011001100010011;
881 : 00100000001110100101011001111010;
882 : 00000000000000000000000000000001;
883 : 01000100010010010100001100010100;
884 : 00000000001000000010000000010111;
885 : 01001011010011000100001100010100;
886 : 00000000001000000010000000010111;
887 : 01000001010100110100100100010100;
888 : 00000000001000000010000000010111;
889 : 00000000001000000010101100000001;
890 : 01000011010011110101001100010100;
891 : 00000000001000000010000000010111;
892 : 01000101010011010100100100010100;
893 : 00000000001000000011101001101100;
894 : 01110100011110010110001000000001;
895 : 01000000001000000111001101101001;
896 : 00000000000000000000000000000000;
897 : 01000101010011010100010000010100;
898 : 00000000001000000011101001101100;
899 : 01110101010000010000101000010100;
900 : 01101111011000100110111101001011;
901 : 01101001001000000111010001111101;
902 : 01110011001110000010000001011101;
903 : 01110010010100000010000000011101;
904 : 00100000011100110111001101101001;
905 : 00100000011110010110111001100001;
906 : 00100000011110010110010101110101;
907 : 01100001001000000110111101001011;
908 : 01110100011100100110111101010001;
909 : 00000000000000000000101000011101;
910 : 01110010011011110110001001100000;
911 : 00101110011001000110010101001011;
912 : 00000000000000000000101000010100;
913 : 01101001011000010111011001100000;
914 : 01101100011000100110000101001101;
915 : 01001101010000110010000001101001;
916 : 00001010001110100111001101001000;
917 : 00100000001110100110100000000001;
918 : 01110000011011000110010101000100;
919 : 00111010011100100010000000010100;
920 : 01110011011001010101001000000001;
921 : 01110100011100100110000101001011;
922 : 00111010011101010010000000010100;
923 : 01101100011100000101010100000001;
924 : 00001010011001000110000101111101;
925 : 00100000001110100111001100000001;
926 : 01110010011011110111010001110010;
927 : 01101111011101000010000001101001;
928 : 01100001011011000110011000000001;
929 : 00100000000010100110100001110011;
930 : 01001100001000000011101001001101;
931 : 00100000011001000110000101111101;
932 : 01101101011011110111001001011001;
933 : 01100001011011000110011000000001;
934 : 00100000000010100110100001110011;
935 : 01000010001000000011101001000111;
936 : 00100000011101000110111101111101;
937 : 01101101011011110111001001011001;
938 : 01100001011011000110011000000001;
939 : 00101000001000000110100001110011;
940 : 00101001010100000100100101000110;
941 : 00111010011001010010000000010100;
942 : 01100101011110000100010100000001;
943 : 01100101011101000111010101110001;
944 : 00000000000000000000000000000000;
945 : 01000100010011010100001100010100;
946 : 00000000001000000011111000010111;
947 : 01100101001000000110111101011100;
948 : 01110101011000110110010101000111;
949 : 01101100011000100110000101001011;
950 : 01110110011000010010000001101001;
951 : 01100001011011000110100101100001;
952 : 00101110011001010110110001010001;
953 : 00000000000000000000000000000000;
954 : 01110100011010010111001001111010;
955 : 00000000000000000010000001101001;
956 : 01110100011110010110001000000001;
957 : 01110100001000000111001101101001;
958 : 01010000010100110010000001111101;
959 : 01101100011001100010000001100100;
960 : 00100000011010000111001101100001;
961 : 00000000000000000010000001000000;
962 : 01111001001010000010000000111111;
963 : 00100000001010010110111000111101;
964 : 00000000000000000000000000000000;
965 : 01100001011011000100011000010100;
966 : 01101110011010010110100001110011;
967 : 00101110001011100010111001111001;
968 : 00000000000000000000000000000001;
969 : 01100101001000000110111101011100;
970 : 01110101011000110110010101000111;
971 : 01101100011000100110000101001011;
972 : 00000000000000000010111001101001;
973 : 00100000001010010110001100000101;
974 : 01010011001000000111100101010001;
975 : 01101000011100000110010101001011;
976 : 01001110001000000110111001100001;
977 : 01101001011101000110110001111101;
978 : 01100111000010100110011101011101;
979 : 01110101011010000111010001100101;
980 : 01101111011000110010111001010001;
981 : 01110100011100110010111101101101;
982 : 01110100011011000110111101011101;
983 : 00101111011001110110111001100101;
984 : 01110010011011110110010101011101;
985 : 00000000001100100011001101011011;
986 : 01100001011101100110111001100100;
987 : 00100000011001000110100101001101;
988 : 00000000010001000100110101110000;
989 : 00110011001100100011000100000011;
990 : 00110111001101100011010100001011;
991 : 01100010011000010011100100000111;
992 : 01100110011001010110010001110001;
993 : 00000000010001010101100001101000;
994 : 01011010010010010101001100000000;
995 : 01001000010000110000000001101000;
996 : 01000110000000000101001101110100;
997 : 00000000010010000101001101001100;
998 : 00000000000000000000000000000000;
999 : 00000000000000000000000000000000;
1000 : 00000000000000000000000000000000;
1001 : 00000000000000000000000000000000;
1002 : 00000000000000000000000000000000;
1003 : 00000000000000000000000000000000;
1004 : 00000000000000000000000000000000;
1005 : 00000000000000000000000000000000;
1006 : 00000000000000000000000000000000;
1007 : 00000000000000000000000000000000;
1008 : 00000000000000000000000000000000;
1009 : 00000000000000000000000000000000;
1010 : 00000000000000000000000000000000;
1011 : 00000000000000000000000000000000;
1012 : 00000000000000000000000000000000;
1013 : 00000000000000000000000000000000;
1014 : 00000000000000000000000000000000;
1015 : 00000000000000000000000000000000;
1016 : 00000000000000000000000000000000;
1017 : 00000000000000000000000000000000;
1018 : 00000000000000000000000000000000;
1019 : 00000000000000000000000000000000;
1020 : 00000000000000000000000000000000;
1021 : 00000000000000000000000000000000;
1022 : 00000000000000000000000000000000;
1023 : 00000000000000000000000000000000;
END;