You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

137 lines
153 KiB
Plaintext

{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678361070207 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678361070207 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 9 12:24:30 2023 " "Processing started: Thu Mar 9 12:24:30 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678361070207 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361070207 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off bootloarder_1 -c test " "Command: quartus_map --read_settings_files=on --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361070208 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1678361070443 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "6 6 " "Parallel compilation is enabled and will use 6 of the 6 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1678361070443 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_test_setup_bootloader-neorv32_test_setup_bootloader_rtl " "Found design unit 1: neorv32_test_setup_bootloader-neorv32_test_setup_bootloader_rtl" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 61 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076614 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_test_setup_bootloader " "Found entity 1: neorv32_test_setup_bootloader" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076614 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076614 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_imem-neorv32_imem_rtl " "Found design unit 1: neorv32_imem-neorv32_imem_rtl" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 46 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076615 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076615 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_dmem-neorv32_dmem_rtl " "Found design unit 1: neorv32_dmem-neorv32_dmem_rtl" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 42 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076616 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076616 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_xirq-neorv32_xirq_rtl " "Found design unit 1: neorv32_xirq-neorv32_xirq_rtl" { } { { "../neorv32/rtl/core/neorv32_xirq.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd" 72 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076617 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_xirq " "Found entity 1: neorv32_xirq" { } { { "../neorv32/rtl/core/neorv32_xirq.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd" 49 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076617 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076617 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd 4 2 " "Found 4 design units, including 2 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_xip-neorv32_xip_rtl " "Found design unit 1: neorv32_xip-neorv32_xip_rtl" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" 82 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076618 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_xip_phy-neorv32_xip_phy_rtl " "Found design unit 2: neorv32_xip_phy-neorv32_xip_phy_rtl" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" 501 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076618 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_xip " "Found entity 1: neorv32_xip" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" 48 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076618 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_xip_phy " "Found entity 2: neorv32_xip_phy" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" 476 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076618 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076618 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_wishbone-neorv32_wishbone_rtl " "Found design unit 1: neorv32_wishbone-neorv32_wishbone_rtl" { } { { "../neorv32/rtl/core/neorv32_wishbone.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd" 104 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076619 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_wishbone " "Found entity 1: neorv32_wishbone" { } { { "../neorv32/rtl/core/neorv32_wishbone.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd" 55 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076619 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076619 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_wdt-neorv32_wdt_rtl " "Found design unit 1: neorv32_wdt-neorv32_wdt_rtl" { } { { "../neorv32/rtl/core/neorv32_wdt.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd" 74 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076620 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_wdt " "Found entity 1: neorv32_wdt" { } { { "../neorv32/rtl/core/neorv32_wdt.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd" 50 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076620 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076620 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_uart-neorv32_uart_rtl " "Found design unit 1: neorv32_uart-neorv32_uart_rtl" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" 99 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076622 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_uart " "Found entity 1: neorv32_uart" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" 68 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076622 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076622 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_twi-neorv32_twi_rtl " "Found design unit 1: neorv32_twi-neorv32_twi_rtl" { } { { "../neorv32/rtl/core/neorv32_twi.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd" 71 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076623 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_twi " "Found entity 1: neorv32_twi" { } { { "../neorv32/rtl/core/neorv32_twi.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076623 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076623 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd 6 3 " "Found 6 design units, including 3 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_trng-neorv32_trng_rtl " "Found design unit 1: neorv32_trng-neorv32_trng_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 63 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076624 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neoTRNG-neoTRNG_rtl " "Found design unit 2: neoTRNG-neoTRNG_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 310 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076624 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neoTRNG_cell-neoTRNG_cell_rtl " "Found design unit 3: neoTRNG_cell-neoTRNG_cell_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 638 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076624 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_trng " "Found entity 1: neorv32_trng" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076624 ""} { "Info" "ISGN_ENTITY_NAME" "2 neoTRNG " "Found entity 2: neoTRNG" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 292 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076624 ""} { "Info" "ISGN_ENTITY_NAME" "3 neoTRNG_cell " "Found entity 3: neoTRNG_cell" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 622 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076624 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076624 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_top-neorv32_top_rtl " "Found design unit 1: neorv32_top-neorv32_top_rtl" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 239 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076627 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_top " "Found entity 1: neorv32_top" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076627 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076627 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_sysinfo-neorv32_sysinfo_rtl " "Found design unit 1: neorv32_sysinfo-neorv32_sysinfo_rtl" { } { { "../neorv32/rtl/core/neorv32_sysinfo.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd" 99 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076628 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_sysinfo " "Found entity 1: neorv32_sysinfo" { } { { "../neorv32/rtl/core/neorv32_sysinfo.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076628 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076628 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_spi-neorv32_spi_rtl " "Found design unit 1: neorv32_spi-neorv32_spi_rtl" { } { { "../neorv32/rtl/core/neorv32_spi.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076630 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_spi " "Found entity 1: neorv32_spi" { } { { "../neorv32/rtl/core/neorv32_spi.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076630 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076630 ""}
{ "Warning" "WSGN_FILE_IS_MISSING" "../neorv32/rtl/core/neorv32_sdi.vhd " "Can't analyze file -- file ../neorv32/rtl/core/neorv32_sdi.vhd is missing" { } { } 0 12019 "Can't analyze file -- file %1!s! is missing" 0 0 "Analysis & Synthesis" 0 -1 1678361076630 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_pwm-neorv32_pwm_rtl " "Found design unit 1: neorv32_pwm-neorv32_pwm_rtl" { } { { "../neorv32/rtl/core/neorv32_pwm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076631 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_pwm " "Found entity 1: neorv32_pwm" { } { { "../neorv32/rtl/core/neorv32_pwm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076631 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076631 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd 4 0 " "Found 4 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_package (neorv32) " "Found design unit 1: neorv32_package (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" 39 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076636 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_package-body " "Found design unit 2: neorv32_package-body" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" 2289 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076636 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neorv32_bootloader_image (neorv32) " "Found design unit 3: neorv32_bootloader_image (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" 2609 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076636 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "4 neorv32_application_image (neorv32) " "Found design unit 4: neorv32_application_image (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" 2626 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076636 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076636 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_onewire-neorv32_onewire_rtl " "Found design unit 1: neorv32_onewire-neorv32_onewire_rtl" { } { { "../neorv32/rtl/core/neorv32_onewire.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076637 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_onewire " "Found entity 1: neorv32_onewire" { } { { "../neorv32/rtl/core/neorv32_onewire.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd" 51 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076637 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076637 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_neoled-neorv32_neoled_rtl " "Found design unit 1: neorv32_neoled-neorv32_neoled_rtl" { } { { "../neorv32/rtl/core/neorv32_neoled.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076639 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_neoled " "Found entity 1: neorv32_neoled" { } { { "../neorv32/rtl/core/neorv32_neoled.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd" 56 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076639 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076639 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_mtime-neorv32_mtime_rtl " "Found design unit 1: neorv32_mtime-neorv32_mtime_rtl" { } { { "../neorv32/rtl/core/neorv32_mtime.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd" 61 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076639 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_mtime " "Found entity 1: neorv32_mtime" { } { { "../neorv32/rtl/core/neorv32_mtime.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076639 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076639 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_imem.entity.vhd 1 1 " "Found 1 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_imem.entity.vhd" { { "Info" "ISGN_ENTITY_NAME" "1 neorv32_imem " "Found entity 1: neorv32_imem" { } { { "../neorv32/rtl/core/neorv32_imem.entity.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_imem.entity.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076640 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076640 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd 4 2 " "Found 4 design units, including 2 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_icache-neorv32_icache_rtl " "Found design unit 1: neorv32_icache-neorv32_icache_rtl" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076641 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_icache_memory-neorv32_icache_memory_rtl " "Found design unit 2: neorv32_icache_memory-neorv32_icache_memory_rtl" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" 414 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076641 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_icache " "Found entity 1: neorv32_icache" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076641 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_icache_memory " "Found entity 2: neorv32_icache_memory" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" 385 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076641 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076641 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_gptmr-neorv32_gptmr_rtl " "Found design unit 1: neorv32_gptmr-neorv32_gptmr_rtl" { } { { "../neorv32/rtl/core/neorv32_gptmr.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd" 66 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076642 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_gptmr " "Found entity 1: neorv32_gptmr" { } { { "../neorv32/rtl/core/neorv32_gptmr.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076642 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076642 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_gpio-neorv32_gpio_rtl " "Found design unit 1: neorv32_gpio-neorv32_gpio_rtl" { } { { "../neorv32/rtl/core/neorv32_gpio.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd" 63 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076643 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_gpio " "Found entity 1: neorv32_gpio" { } { { "../neorv32/rtl/core/neorv32_gpio.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076643 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076643 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_fifo-neorv32_fifo_rtl " "Found design unit 1: neorv32_fifo-neorv32_fifo_rtl" { } { { "../neorv32/rtl/core/neorv32_fifo.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076644 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_fifo " "Found entity 1: neorv32_fifo" { } { { "../neorv32/rtl/core/neorv32_fifo.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076644 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076644 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_dmem.entity.vhd 1 1 " "Found 1 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_dmem.entity.vhd" { { "Info" "ISGN_ENTITY_NAME" "1 neorv32_dmem " "Found entity 1: neorv32_dmem" { } { { "../neorv32/rtl/core/neorv32_dmem.entity.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_dmem.entity.vhd" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076644 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076644 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_debug_dtm-neorv32_debug_dtm_rtl " "Found design unit 1: neorv32_debug_dtm-neorv32_debug_dtm_rtl" { } { { "../neorv32/rtl/core/neorv32_debug_dtm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd" 70 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076646 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_debug_dtm " "Found entity 1: neorv32_debug_dtm" { } { { "../neorv32/rtl/core/neorv32_debug_dtm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076646 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076646 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_debug_dm-neorv32_debug_dm_rtl " "Found design unit 1: neorv32_debug_dm-neorv32_debug_dm_rtl" { } { { "../neorv32/rtl/core/neorv32_debug_dm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd" 88 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076647 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_debug_dm " "Found entity 1: neorv32_debug_dm" { } { { "../neorv32/rtl/core/neorv32_debug_dm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd" 58 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076647 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076647 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_regfile-neorv32_cpu_regfile_rtl " "Found design unit 1: neorv32_cpu_regfile-neorv32_cpu_regfile_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_regfile.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd" 78 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076648 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_regfile " "Found entity 1: neorv32_cpu_regfile" { } { { "../neorv32/rtl/core/neorv32_cpu_regfile.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd" 54 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076648 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076648 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_decompressor-neorv32_cpu_decompressor_rtl " "Found design unit 1: neorv32_cpu_decompressor-neorv32_cpu_decompressor_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_decompressor.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" 55 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076650 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_decompressor " "Found entity 1: neorv32_cpu_decompressor" { } { { "../neorv32/rtl/core/neorv32_cpu_decompressor.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076650 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076650 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_shifter-neorv32_cpu_cp_shifter_rtl " "Found design unit 1: neorv32_cpu_cp_shifter-neorv32_cpu_cp_shifter_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" 65 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076651 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_shifter " "Found entity 1: neorv32_cpu_cp_shifter" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076651 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076651 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_muldiv-neorv32_cpu_cp_muldiv_rtl " "Found design unit 1: neorv32_cpu_cp_muldiv-neorv32_cpu_cp_muldiv_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076652 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_muldiv " "Found entity 1: neorv32_cpu_cp_muldiv" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076652 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076652 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd 6 3 " "Found 6 design units, including 3 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_fpu-neorv32_cpu_cp_fpu_rtl " "Found design unit 1: neorv32_cpu_cp_fpu-neorv32_cpu_cp_fpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076655 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_cpu_cp_fpu_normalizer-neorv32_cpu_cp_fpu_normalizer_rtl " "Found design unit 2: neorv32_cpu_cp_fpu_normalizer-neorv32_cpu_cp_fpu_normalizer_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1200 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076655 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neorv32_cpu_cp_fpu_f2i-neorv32_cpu_cp_fpu_f2i_rtl " "Found design unit 3: neorv32_cpu_cp_fpu_f2i-neorv32_cpu_cp_fpu_f2i_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1585 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076655 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_fpu " "Found entity 1: neorv32_cpu_cp_fpu" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 58 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076655 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_cpu_cp_fpu_normalizer " "Found entity 2: neorv32_cpu_cp_fpu_normalizer" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1178 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076655 ""} { "Info" "ISGN_ENTITY_NAME" "3 neorv32_cpu_cp_fpu_f2i " "Found entity 3: neorv32_cpu_cp_fpu_f2i" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1565 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076655 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076655 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_cfu-neorv32_cpu_cp_cfu_rtl " "Found design unit 1: neorv32_cpu_cp_cfu-neorv32_cpu_cp_cfu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" 68 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076656 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_cfu " "Found entity 1: neorv32_cpu_cp_cfu" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076656 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076656 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_bitmanip-neorv32_cpu_cp_bitmanip_rtl " "Found design unit 1: neorv32_cpu_cp_bitmanip-neorv32_cpu_cp_bitmanip_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076658 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_bitmanip " "Found entity 1: neorv32_cpu_cp_bitmanip" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" 51 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076658 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076658 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_control-neorv32_cpu_control_rtl " "Found design unit 1: neorv32_cpu_control-neorv32_cpu_control_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 132 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076664 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_control " "Found entity 1: neorv32_cpu_control" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 52 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076664 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076664 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_bus-neorv32_cpu_bus_rtl " "Found design unit 1: neorv32_cpu_bus-neorv32_cpu_bus_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 85 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076666 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_bus " "Found entity 1: neorv32_cpu_bus" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 44 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076666 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076666 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_alu-neorv32_cpu_cpu_rtl " "Found design unit 1: neorv32_cpu_alu-neorv32_cpu_cpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" 79 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076667 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_alu " "Found entity 1: neorv32_cpu_alu" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" 44 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076667 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076667 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu-neorv32_cpu_rtl " "Found design unit 1: neorv32_cpu-neorv32_cpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 116 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076668 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu " "Found entity 1: neorv32_cpu" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076668 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076668 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cfs-neorv32_cfs_rtl " "Found design unit 1: neorv32_cfs-neorv32_cfs_rtl" { } { { "../neorv32/rtl/core/neorv32_cfs.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd" 78 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076669 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cfs " "Found entity 1: neorv32_cfs" { } { { "../neorv32/rtl/core/neorv32_cfs.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd" 49 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076669 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076669 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_busswitch-neorv32_busswitch_rtl " "Found design unit 1: neorv32_busswitch-neorv32_busswitch_rtl" { } { { "../neorv32/rtl/core/neorv32_busswitch.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd" 91 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076670 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_busswitch " "Found entity 1: neorv32_busswitch" { } { { "../neorv32/rtl/core/neorv32_busswitch.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076670 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076670 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_bus_keeper-neorv32_bus_keeper_rtl " "Found design unit 1: neorv32_bus_keeper-neorv32_bus_keeper_rtl" { } { { "../neorv32/rtl/core/neorv32_bus_keeper.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd" 70 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076671 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_bus_keeper " "Found entity 1: neorv32_bus_keeper" { } { { "../neorv32/rtl/core/neorv32_bus_keeper.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076671 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076671 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bootloader_image.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bootloader_image.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_bootloader_image-body " "Found design unit 1: neorv32_bootloader_image-body" { } { { "../neorv32/rtl/core/neorv32_bootloader_image.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bootloader_image.vhd" 8 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076672 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076672 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_boot_rom-neorv32_boot_rom_rtl " "Found design unit 1: neorv32_boot_rom-neorv32_boot_rom_rtl" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd" 58 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076672 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_boot_rom " "Found entity 1: neorv32_boot_rom" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd" 43 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076672 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076672 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_application_image.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_application_image.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_application_image-body " "Found design unit 1: neorv32_application_image-body" { } { { "../neorv32/rtl/core/neorv32_application_image.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_application_image.vhd" 8 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361076673 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361076673 ""}
{ "Info" "ISGN_START_ELABORATION_TOP" "neorv32_test_setup_bootloader " "Elaborating entity \"neorv32_test_setup_bootloader\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1678361076907 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_top neorv32_top:neorv32_top_inst " "Elaborating entity \"neorv32_top\" for hierarchy \"neorv32_top:neorv32_top_inst\"" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "neorv32_top_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 69 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361076910 ""}
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "rstn_ext neorv32_top.vhd(254) " "Verilog HDL or VHDL warning at neorv32_top.vhd(254): object \"rstn_ext\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 254 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678361076917 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"}
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "cpu_s neorv32_top.vhd(282) " "Verilog HDL or VHDL warning at neorv32_top.vhd(282): object \"cpu_s\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 282 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678361076917 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"}
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "xip_enable neorv32_top.vhd(388) " "Verilog HDL or VHDL warning at neorv32_top.vhd(388): object \"xip_enable\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 388 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678361076918 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"}
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "xip_page neorv32_top.vhd(389) " "Verilog HDL or VHDL warning at neorv32_top.vhd(389): object \"xip_page\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 389 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678361076918 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"}
{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Peripherals = GPIO MTIME UART0 \" neorv32_top.vhd(395) " "VHDL Assertion Statement at neorv32_top.vhd(395): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Peripherals = GPIO MTIME UART0 \" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 395 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678361076918 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"}
{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM).\" neorv32_top.vhd(420) " "VHDL Assertion Statement at neorv32_top.vhd(420): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM).\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 420 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678361076918 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst " "Elaborating entity \"neorv32_cpu\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_cpu_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 542 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361076967 ""}
{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"The NEORV32 RISC-V Processor - github.com/stnolting/neorv32\" neorv32_cpu.vhd(165) " "VHDL Assertion Statement at neorv32_cpu.vhd(165): assertion is false - report \"The NEORV32 RISC-V Processor - github.com/stnolting/neorv32\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 165 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678361076970 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"}
{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU CONFIG NOTE: Core ISA ('MARCH') = RV32IMC_Zicsr_Zicntr\" neorv32_cpu.vhd(168) " "VHDL Assertion Statement at neorv32_cpu.vhd(168): assertion is false - report \"NEORV32 CPU CONFIG NOTE: Core ISA ('MARCH') = RV32IMC_Zicsr_Zicntr\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 168 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678361076970 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"}
{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU NOTE: Assuming this is real hardware.\" neorv32_cpu.vhd(190) " "VHDL Assertion Statement at neorv32_cpu.vhd(190): assertion is false - report \"NEORV32 CPU NOTE: Assuming this is real hardware.\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 190 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678361076970 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"}
{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU CONFIG NOTE: Boot from address 0xffff0000.\" neorv32_cpu.vhd(200) " "VHDL Assertion Statement at neorv32_cpu.vhd(200): assertion is false - report \"NEORV32 CPU CONFIG NOTE: Boot from address 0xffff0000.\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 200 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678361076970 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"}
{ "Warning" "WVRFX_VHDL_ASSERT_ALWAYS_OCCURS_WARNING" "\"NEORV32 CPU CONFIG WARNING! Overriding <CPU_IPB_ENTRIES> configuration (setting =2) because C ISA extension is enabled.\" neorv32_cpu.vhd(214) " "VHDL Assertion Statement at neorv32_cpu.vhd(214): assertion is false - report \"NEORV32 CPU CONFIG WARNING! Overriding <CPU_IPB_ENTRIES> configuration (setting =2) because C ISA extension is enabled.\" (WARNING)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 214 0 0 } } } 0 10651 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (WARNING)" 0 0 "Analysis & Synthesis" 0 -1 1678361076970 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_control neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst " "Elaborating entity \"neorv32_cpu_control\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_control_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 260 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361076971 ""}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(249) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(249): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 249 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361076982 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(251) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(251): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 251 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361076982 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(253) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(253): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 253 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361076982 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(255) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(255): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 255 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361076982 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(257) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(257): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 257 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361076982 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(365) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(365): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 365 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361076982 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"}
{ "Warning" "WVRFX_L2_HDL_CONDITION_EXP_IS_CONST" "neorv32_cpu_control.vhd(1143) " "Verilog HDL or VHDL warning at neorv32_cpu_control.vhd(1143): conditional expression evaluates to a constant" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 1143 0 0 } } } 0 10037 "Verilog HDL or VHDL warning at %1!s!: conditional expression evaluates to a constant" 0 0 "Analysis & Synthesis" 0 -1 1678361076982 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"}
{ "Warning" "WVRFX_L2_VHDL_ID_IN_COMB_PROCESS_HOLDS_VALUE" "csr neorv32_cpu_control.vhd(1686) " "VHDL Process Statement warning at neorv32_cpu_control.vhd(1686): inferring latch(es) for signal or variable \"csr\", which holds its previous value in one or more paths through the process" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 1686 0 0 } } } 0 10631 "VHDL Process Statement warning at %2!s!: inferring latch(es) for signal or variable \"%1!s!\", which holds its previous value in one or more paths through the process" 0 0 "Analysis & Synthesis" 0 -1 1678361076982 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2074) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2074): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 2074 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361076982 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2480) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2480): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 2480 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361076982 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2517) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2517): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 2517 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361076982 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"}
{ "Warning" "WVRFX_VHDL_IGNORED_ASSIGNMENT_TO_NULL_RANGE" "neorv32_cpu_control.vhd(2550) " "VHDL warning at neorv32_cpu_control.vhd(2550): ignored assignment of value to null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 2550 0 0 } } } 0 10296 "VHDL warning at %1!s!: ignored assignment of value to null range" 0 0 "Analysis & Synthesis" 0 -1 1678361076982 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_fifo:\\prefetch_buffer:0:prefetch_buffer_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_fifo:\\prefetch_buffer:0:prefetch_buffer_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "\\prefetch_buffer:0:prefetch_buffer_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 494 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361076983 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_decompressor neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_cpu_decompressor:\\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst " "Elaborating entity \"neorv32_cpu_decompressor\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_cpu_decompressor:\\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "\\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 591 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361076986 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_regfile neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst " "Elaborating entity \"neorv32_cpu_regfile\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_regfile_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 351 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361076989 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Elaborating entity \"altsyncram\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\"" { } { } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077031 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077032 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 32 " "Parameter \"WIDTH_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 5 " "Parameter \"WIDTHAD_A\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 32 " "Parameter \"NUMWORDS_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 32 " "Parameter \"WIDTH_B\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 5 " "Parameter \"WIDTHAD_B\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 32 " "Parameter \"NUMWORDS_B\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B UNREGISTERED " "Parameter \"OUTDATA_REG_B\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK0 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"OLD_DATA\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361077032 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678361077032 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_u2n1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_u2n1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_u2n1 " "Found entity 1: altsyncram_u2n1" { } { { "db/altsyncram_u2n1.tdf" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_u2n1.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361077070 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361077070 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_u2n1 neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\|altsyncram_u2n1:auto_generated " "Elaborating entity \"altsyncram_u2n1\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\|altsyncram_u2n1:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "/home/seti/intelFPGA/22.1std/quartus/libraries/megafunctions/altsyncram.tdf" 792 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077070 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_alu neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst " "Elaborating entity \"neorv32_cpu_alu\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_alu_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 377 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077079 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_cp_shifter neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst " "Elaborating entity \"neorv32_cpu_cp_shifter\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "neorv32_cpu_cp_shifter_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" 174 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077081 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_cp_muldiv neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_muldiv:\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst " "Elaborating entity \"neorv32_cpu_cp_muldiv\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_muldiv:\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" 198 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077083 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_bus neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_bus:neorv32_cpu_bus_inst " "Elaborating entity \"neorv32_cpu_bus\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_bus:neorv32_cpu_bus_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_bus_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 414 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077087 ""}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(114) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(114): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 114 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361077089 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(115) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(115): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 115 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361077089 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(116) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(116): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 116 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361077089 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(117) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(117): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 117 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361077089 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(118) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(118): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 118 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361077089 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(119) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(119): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 119 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361077089 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(120) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(120): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 120 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361077089 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(121) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(121): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 121 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361077089 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(122) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(122): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 122 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361077089 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(427) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(427): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 427 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361077089 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(446) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(446): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 446 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361077089 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(467) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(467): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 467 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361077089 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"}
{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(500) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(500): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 500 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678361077089 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_busswitch neorv32_top:neorv32_top_inst\|neorv32_busswitch:neorv32_busswitch_inst " "Elaborating entity \"neorv32_busswitch\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_busswitch:neorv32_busswitch_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_busswitch_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 691 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077090 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_bus_keeper neorv32_top:neorv32_top_inst\|neorv32_bus_keeper:neorv32_bus_keeper_inst " "Elaborating entity \"neorv32_bus_keeper\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_bus_keeper:neorv32_bus_keeper_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_bus_keeper_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 763 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077092 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_imem neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst " "Elaborating entity \"neorv32_imem\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_int_imem_inst_true:neorv32_int_imem_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 799 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077094 ""}
{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic IMEM.\" neorv32_imem.default.vhd(89) " "VHDL Assertion Statement at neorv32_imem.default.vhd(89): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic IMEM.\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 89 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678361077095 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst"}
{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as blank RAM (16384 bytes).\" neorv32_imem.default.vhd(96) " "VHDL Assertion Statement at neorv32_imem.default.vhd(96): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as blank RAM (16384 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 96 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678361077095 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst"}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_dmem neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst " "Elaborating entity \"neorv32_dmem\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 828 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077096 ""}
{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic DMEM.\" neorv32_dmem.default.vhd(72) " "VHDL Assertion Statement at neorv32_dmem.default.vhd(72): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic DMEM.\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 72 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678361077097 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst"}
{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal DMEM (RAM, 131072 bytes).\" neorv32_dmem.default.vhd(75) " "VHDL Assertion Statement at neorv32_dmem.default.vhd(75): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal DMEM (RAM, 131072 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 75 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678361077097 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst"}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_boot_rom neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst " "Elaborating entity \"neorv32_boot_rom\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 856 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077098 ""}
{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing internal bootloader ROM (4096 bytes).\" neorv32_boot_rom.vhd(81) " "VHDL Assertion Statement at neorv32_boot_rom.vhd(81): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing internal bootloader ROM (4096 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd" 81 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678361077104 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst"}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_gpio neorv32_top:neorv32_top_inst\|neorv32_gpio:\\neorv32_gpio_inst_true:neorv32_gpio_inst " "Elaborating entity \"neorv32_gpio\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_gpio:\\neorv32_gpio_inst_true:neorv32_gpio_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_gpio_inst_true:neorv32_gpio_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 1059 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077105 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_mtime neorv32_top:neorv32_top_inst\|neorv32_mtime:\\neorv32_mtime_inst_true:neorv32_mtime_inst " "Elaborating entity \"neorv32_mtime\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_mtime:\\neorv32_mtime_inst_true:neorv32_mtime_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_mtime_inst_true:neorv32_mtime_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 1128 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077107 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_uart neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst " "Elaborating entity \"neorv32_uart\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_uart0_inst_true:neorv32_uart0_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 1157 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077109 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:tx_engine_fifo_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:tx_engine_fifo_inst\"" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "tx_engine_fifo_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" 334 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077111 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:rx_engine_fifo_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:rx_engine_fifo_inst\"" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "rx_engine_fifo_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" 510 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077113 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_sysinfo neorv32_top:neorv32_top_inst\|neorv32_sysinfo:neorv32_sysinfo_inst " "Elaborating entity \"neorv32_sysinfo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_sysinfo:neorv32_sysinfo_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_sysinfo_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 1605 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361077114 ""}
{ "Info" "IOPT_INFERENCING_SUMMARY" "9 " "Inferred 9 megafunctions from design logic" { { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b0_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b0_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 15 " "Parameter WIDTHAD_A set to 15" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 32768 " "Parameter NUMWORDS_A set to 32768" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 15 " "Parameter WIDTHAD_B set to 15" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 32768 " "Parameter NUMWORDS_B set to 32768" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b1_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b1_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 15 " "Parameter WIDTHAD_A set to 15" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 32768 " "Parameter NUMWORDS_A set to 32768" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 15 " "Parameter WIDTHAD_B set to 15" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 32768 " "Parameter NUMWORDS_B set to 32768" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b2_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b2_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 15 " "Parameter WIDTHAD_A set to 15" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 32768 " "Parameter NUMWORDS_A set to 32768" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 15 " "Parameter WIDTHAD_B set to 15" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 32768 " "Parameter NUMWORDS_B set to 32768" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b3_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b3_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 15 " "Parameter WIDTHAD_A set to 15" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 32768 " "Parameter NUMWORDS_A set to 32768" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 15 " "Parameter WIDTHAD_B set to 15" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 32768 " "Parameter NUMWORDS_B set to 32768" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b0_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b0_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b1_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b1_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b2_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b2_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b3_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b3_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "IINFER_ALTSYNCRAM_ROM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|Mux26_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|Mux26_rtl_0\"" { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE ROM " "Parameter OPERATION_MODE set to ROM" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 32 " "Parameter WIDTH_A set to 32" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 10 " "Parameter WIDTHAD_A set to 10" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 1024 " "Parameter NUMWORDS_A set to 1024" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_A UNREGISTERED " "Parameter OUTDATA_REG_A set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RAM_BLOCK_TYPE AUTO " "Parameter RAM_BLOCK_TYPE set to AUTO" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INIT_FILE bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif " "Parameter INIT_FILE set to bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678361081458 ""} } { } 0 276031 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\"" 0 0 "Design Software" 0 -1 1678361081458 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Analysis & Synthesis" 0 -1 1678361081458 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361081480 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 8 " "Parameter \"WIDTH_A\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 15 " "Parameter \"WIDTHAD_A\" = \"15\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 32768 " "Parameter \"NUMWORDS_A\" = \"32768\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 8 " "Parameter \"WIDTH_B\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 15 " "Parameter \"WIDTHAD_B\" = \"15\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 32768 " "Parameter \"NUMWORDS_B\" = \"32768\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B UNREGISTERED " "Parameter \"OUTDATA_REG_B\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK0 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"OLD_DATA\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_REG_B CLOCK0 " "Parameter \"RDCONTROL_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081481 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678361081481 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_caq1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_caq1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_caq1 " "Found entity 1: altsyncram_caq1" { } { { "db/altsyncram_caq1.tdf" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_caq1.tdf" 32 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361081514 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361081514 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/decode_8la.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/decode_8la.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 decode_8la " "Found entity 1: decode_8la" { } { { "db/decode_8la.tdf" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/decode_8la.tdf" 23 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361081545 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361081545 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_ofb.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_ofb.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_ofb " "Found entity 1: mux_ofb" { } { { "db/mux_ofb.tdf" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/mux_ofb.tdf" 23 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361081576 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361081576 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361081597 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 8 " "Parameter \"WIDTH_A\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 12 " "Parameter \"WIDTHAD_A\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 4096 " "Parameter \"NUMWORDS_A\" = \"4096\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 8 " "Parameter \"WIDTH_B\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 12 " "Parameter \"WIDTHAD_B\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 4096 " "Parameter \"NUMWORDS_B\" = \"4096\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B UNREGISTERED " "Parameter \"OUTDATA_REG_B\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK0 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"OLD_DATA\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_REG_B CLOCK0 " "Parameter \"RDCONTROL_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081598 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678361081598 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_o6q1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_o6q1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_o6q1 " "Found entity 1: altsyncram_o6q1" { } { { "db/altsyncram_o6q1.tdf" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_o6q1.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361081631 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361081631 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361081654 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE ROM " "Parameter \"OPERATION_MODE\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081655 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 32 " "Parameter \"WIDTH_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081655 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 10 " "Parameter \"WIDTHAD_A\" = \"10\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081655 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 1024 " "Parameter \"NUMWORDS_A\" = \"1024\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081655 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_A UNREGISTERED " "Parameter \"OUTDATA_REG_A\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081655 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RAM_BLOCK_TYPE AUTO " "Parameter \"RAM_BLOCK_TYPE\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081655 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INIT_FILE bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif " "Parameter \"INIT_FILE\" = \"bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678361081655 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678361081655 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_bg91.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_bg91.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_bg91 " "Found entity 1: altsyncram_bg91" { } { { "db/altsyncram_bg91.tdf" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_bg91.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678361081689 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361081689 ""}
{ "Warning" "WSGN_CONNECTIVITY_WARNINGS" "1 " "1 hierarchies have connectivity warnings - see the Connectivity Checks report folder" { } { } 0 12241 "%1!d! hierarchies have connectivity warnings - see the Connectivity Checks report folder" 0 0 "Analysis & Synthesis" 0 -1 1678361081954 ""}
{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1678361084441 ""}
{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "43 " "43 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1678361087339 ""}
{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "gfsdg 24 " "Ignored 24 assignments for entity \"gfsdg\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678361087473 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1678361087473 ""}
{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1678361087795 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678361087795 ""}
{ "Info" "ICUT_CUT_TM_SUMMARY" "3068 " "Implemented 3068 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "3 " "Implemented 3 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1678361088077 ""} { "Info" "ICUT_CUT_TM_OPINS" "9 " "Implemented 9 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1678361088077 ""} { "Info" "ICUT_CUT_TM_LCELLS" "2800 " "Implemented 2800 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1678361088077 ""} { "Info" "ICUT_CUT_TM_RAMS" "256 " "Implemented 256 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Design Software" 0 -1 1678361088077 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1678361088077 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 58 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 58 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "598 " "Peak virtual memory: 598 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678361088102 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 9 12:24:48 2023 " "Processing ended: Thu Mar 9 12:24:48 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678361088102 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:18 " "Elapsed time: 00:00:18" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678361088102 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:25 " "Total CPU time (on all processors): 00:00:25" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678361088102 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1678361088102 ""}