You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

7 lines
277 B
Plaintext

(* Detects a rising edge (false to true transition ).
The output is true during the transition clock cycle.
The output is initialized to false. *)
node risingEdge(re_Input : bool) returns (re_Output : bool)
let
re_Output = not (re_Input -> pre re_Input) & re_Input;
tel