// Copyright (C) 2022 Intel Corporation. All rights reserved. // Your use of Intel Corporation's design tools, logic functions // and other software and tools, and any partner logic // functions, and any output files from any of the foregoing // (including device programming or simulation files), and any // associated documentation or information are expressly subject // to the terms and conditions of the Intel Program License // Subscription Agreement, the Intel Quartus Prime License Agreement, // the Intel FPGA IP License Agreement, or other applicable license // agreement, including, without limitation, that your use is for // the sole purpose of programming logic devices manufactured by // Intel and sold by Intel or its authorized distributors. Please // refer to the applicable agreement for further details, at // https://fpgasoftware.intel.com/eula. // VENDOR "Altera" // PROGRAM "Quartus Prime" // VERSION "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition" // DATE "02/08/2023 15:44:02" // // Device: Altera 5CSEMA5F31C6 Package FBGA896 // // // This Verilog file should be used for Questa Intel FPGA (Verilog) only // `timescale 1 ps/ 1 ps module neorv32_test_setup_approm ( clk_i, rstn_i, gpio_o); input clk_i; input rstn_i; output [7:0] gpio_o; // Design Ports Information // gpio_o[0] => Location: PIN_W20, I/O Standard: 2.5 V, Current Strength: Default // gpio_o[1] => Location: PIN_Y19, I/O Standard: 2.5 V, Current Strength: Default // gpio_o[2] => Location: PIN_W19, I/O Standard: 2.5 V, Current Strength: Default // gpio_o[3] => Location: PIN_W17, I/O Standard: 2.5 V, Current Strength: Default // gpio_o[4] => Location: PIN_V18, I/O Standard: 2.5 V, Current Strength: Default // gpio_o[5] => Location: PIN_V17, I/O Standard: 2.5 V, Current Strength: Default // gpio_o[6] => Location: PIN_W16, I/O Standard: 2.5 V, Current Strength: Default // gpio_o[7] => Location: PIN_V16, I/O Standard: 2.5 V, Current Strength: Default // clk_i => Location: PIN_AF14, I/O Standard: 2.5 V, Current Strength: Default // rstn_i => Location: PIN_AA14, I/O Standard: 2.5 V, Current Strength: Default wire gnd; wire vcc; wire unknown; assign gnd = 1'b0; assign vcc = 1'b1; assign unknown = 1'bx; tri1 devclrn; tri1 devpor; tri1 devoe; wire \clk_i~input_o ; wire \clk_i~inputCLKENA0_outclk ; wire \neorv32_top_inst|rstn_int_sreg[0]~feeder_combout ; wire \rstn_i~input_o ; wire \neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE_q ; wire \neorv32_top_inst|rstn_int_sreg[1]~feeder_combout ; wire \neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE_q ; wire \neorv32_top_inst|rstn_int_sreg[2]~feeder_combout ; wire \neorv32_top_inst|rstn_int_sreg[2]~DUPLICATE_q ; wire \neorv32_top_inst|tmp_v~0_combout ; wire \neorv32_top_inst|rstn_int~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector32~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector29~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ; wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ; wire \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ; wire \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q ; wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~10_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector28~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux14~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~q ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12~combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[1]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~6_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~5_combout ; wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~5_combout ; wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~49_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9]~q ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal67~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~79_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][15]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][15]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~78_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~80_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~6_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~73_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_OTERM92 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_OTERM94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux13~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux15~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux16~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_OTERM118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]_OTERM124 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]_OTERM126 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~109_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~107_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~108_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~154_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~143_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~146_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~144_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~145_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~155_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~142_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~47_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~45_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~44_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~46_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~37_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~41_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~43_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_OTERM150 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_OTERM148 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~123_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~124_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~120_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~147_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_OTERM166 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN275_BDD276 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~150_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~151_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~152_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~149_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN241_BDD242 ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~6_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM120 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]_OTERM50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN267_BDD268 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN237_BDD238 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN283_BDD284 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN215_BDD216 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]_OTERM128 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~136_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~92_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~128_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~129_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~125_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_OTERM154 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_OTERM152 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM138 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM136~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM136 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~93_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~94_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~97_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_OTERM132 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_OTERM134 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~95_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~98_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~99_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~102_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~103_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~104_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~100_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]_OTERM130 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~21_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~28_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~30_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~29_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ; wire \neorv32_top_inst|Equal0~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~159_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux1~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~16_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux2~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux3~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux4~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux5~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~14_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux6~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~15_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux7~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux8~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux9~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux10~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux11~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux12~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux14~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux17~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~22_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_OTERM108 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~111_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~116_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~105_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~112_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~117_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_OTERM110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~26_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_OTERM104 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_OTERM106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~25_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_OTERM100 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_OTERM102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_OTERM96 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_OTERM98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_OTERM80 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_OTERM84 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_OTERM88 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_OTERM90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_OTERM86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_OTERM82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~10_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]_OTERM66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]_OTERM64 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~17_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_OTERM60 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_OTERM62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~19_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~162_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~163_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~83_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~84_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~160_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_OTERM54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_OTERM52 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~174_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~172_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~173_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~165_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~166_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~167_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~170_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~169_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux0~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~20_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ; wire \neorv32_top_inst|Equal0~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector8~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector7~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~21_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~22_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~40_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~39_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~34_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~33_combout ; wire \neorv32_top_inst|Equal0~10_combout ; wire \neorv32_top_inst|Equal0~11_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_RTM0157_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]_OTERM156 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout ; wire \neorv32_top_inst|io_wren~0_combout ; wire \neorv32_top_inst|io_wren~1_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~6_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~3_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~7_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout ; wire \neorv32_top_inst|Equal0~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux31~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~q ; wire \neorv32_top_inst|neorv32_sysinfo_inst|wren~0_combout ; wire \neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o~q ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout ; wire \neorv32_top_inst|rdata_v~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout ; wire \neorv32_top_inst|rdata_v~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN213_BDD214 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~46_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~56_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~54_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~58_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~64_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~61_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~59_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~43_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~41_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~39_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~37_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~35_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~33_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~51_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~49_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~47_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~45_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~55_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~53_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~57_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_RESYN185_BDD186 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN247_BDD248 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN249_BDD250 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN201_BDD202 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN299_BDD300 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN297_BDD298 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ; wire \~GND~combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~56_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~52_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~54_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~44_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~46_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~48_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~50_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~32_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~34_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~36_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~38_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~40_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~42_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~7 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~11 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~15 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~19 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~21_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~23 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~25_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~27 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~29_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~31 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~35 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~37_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~39 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~41_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~43 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~47 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~49_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~51 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~53_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~55 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~57_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~59 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~58_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~60_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~126 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~127 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~57_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~53_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~55_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~45_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~47_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~49_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~51_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~33_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~35_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~37_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~39_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~41_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~43_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~59_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~61_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~62_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[29]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN277_BDD278 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_RESYN199_BDD200 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279_BDD280 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_RESYN197_BDD198 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN281_BDD282 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_OTERM76 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_OTERM78 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_OTERM74 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_OTERM72 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM70~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM68~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM68 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~13_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~16_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~20_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~18_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~19_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~17_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout ; wire \neorv32_top_inst|Equal0~2_combout ; wire \neorv32_top_inst|Equal0~6_RESYN207_BDD208 ; wire \neorv32_top_inst|Equal0~6_RESYN205_BDD206 ; wire \neorv32_top_inst|Equal0~6_combout ; wire \neorv32_top_inst|io_wren~combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~52_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~53_combout ; wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux29~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26_combout ; wire \neorv32_top_inst|rdata_v~39_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~4_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout ; wire \neorv32_top_inst|rdata_v~40_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN235_BDD236 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~141_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263_BDD264 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN261_BDD262 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_RESYN193_BDD194 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN265_BDD266 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]_OTERM48 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector6~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector5~0_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~9_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~10_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_RESYN291_BDD292 ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~6_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~56_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~57_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux12~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28_combout ; wire \neorv32_top_inst|rdata_v~42_combout ; wire \neorv32_top_inst|rdata_v~43_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN239_BDD240 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN269_BDD270 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_RESYN195_BDD196 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN273_BDD274 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_OTERM164 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux12~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux19~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~44_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~45_combout ; wire \neorv32_top_inst|rdata_v~33_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~7_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~6_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_RESYN293_BDD294 ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux16~0_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 ; wire \neorv32_top_inst|rdata_v~34_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux25~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN253_BDD254 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_RESYN191_BDD192 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN257_BDD258 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259_BDD260 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~50_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~48_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux26~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux26~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux24~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~9_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~8_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~7_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~6_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~62_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~63_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux28~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31_combout ; wire \neorv32_top_inst|rdata_v~47_combout ; wire \neorv32_top_inst|rdata_v~48_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][3]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~21_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~109_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~59_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~121_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~127_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~132_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~133_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~134_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~130_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~4_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~50_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~51_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22]~feeder_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux9~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25_combout ; wire \neorv32_top_inst|rdata_v~37_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~3_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 ; wire \neorv32_top_inst|rdata_v~38_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux23~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux25~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux25~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~44_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~42_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~40_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~38_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~36_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~34_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~52_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~138_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~139_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~179_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~feeder_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~feeder_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux9~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24]~feeder_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~feeder_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff~q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~1_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~135_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~7_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~7_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~6_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~40_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~41_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux24~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20_combout ; wire \neorv32_top_inst|rdata_v~29_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 ; wire \neorv32_top_inst|rdata_v~30_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~87_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~88_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_RESYN221_BDD222 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_RESYN223_BDD224 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~33_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_RESYN225_BDD226 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_RESYN227_BDD228 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~161_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~164_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~171_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~126 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~148_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~122_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~126_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~131_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~137_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~91_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~96_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~101_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~106_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_RESYN229_BDD230 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~27_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_OTERM116 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~110_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~113_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~114_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231_BDD232 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233_BDD234 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~63_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~62_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~60_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux45~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux44~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux43~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~126 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux42~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~12_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux41~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~11_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux40~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux11~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux18~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14_combout ; wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~7_combout ; wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~8_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29_combout ; wire \neorv32_top_inst|rdata_v~21_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 ; wire \neorv32_top_inst|rdata_v~22_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~83_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~84_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal265~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~158_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~156_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~157_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~153_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~38_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux39~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux15~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux22~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[9]~feeder_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~36_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~37_combout ; wire \neorv32_top_inst|rdata_v~26_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~54_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux38~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux37~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux10~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14]~feeder_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux17~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17_combout ; wire \neorv32_top_inst|rdata_v~14_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 ; wire \neorv32_top_inst|rdata_v~15_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~74_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~75_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux36~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~10_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~6_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~8_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux16~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6_combout ; wire \neorv32_top_inst|rdata_v~10_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 ; wire \neorv32_top_inst|rdata_v~11_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux35~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux34~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~18_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_OTERM56 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_OTERM58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux33~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~4_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_RESYN295_BDD296 ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux6~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~38_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~39_combout ; wire \neorv32_top_inst|rdata_v~27_combout ; wire \neorv32_top_inst|rdata_v~28_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~76_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~77_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux32~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux3~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~46_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~47_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~3_combout ; wire \neorv32_top_inst|rdata_v~35_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux25~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~85_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~86_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~168_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux31~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~6_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~32_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~33_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux23~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16_combout ; wire \neorv32_top_inst|rdata_v~24_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][8]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~71_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux13~0_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[11]~feeder_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~7_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~6_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~3_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux20~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11]~feeder_combout ; wire \neorv32_top_inst|rdata_v~17_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~8_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~66_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux4~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27]~feeder_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~3_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 ; wire \neorv32_top_inst|rdata_v~18_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~81_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~93_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~82_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~118_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~119_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~115_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_OTERM114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_OTERM112 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout ; wire \neorv32_top_inst|Equal0~9_RESYN175_BDD176 ; wire \neorv32_top_inst|Equal0~9_RESYN173_BDD174 ; wire \neorv32_top_inst|Equal0~9_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux21~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~6_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout ; wire \neorv32_top_inst|rdata_v~19_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~87_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~88_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~92_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM7 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5_RTM011_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM5 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM3_OTERM172 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM1 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_OTERM140 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_OTERM142 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector27~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector26~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector25~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector24~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector23~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector22~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector21~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector20~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector19~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector18~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector17~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector16~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector15~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector14~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector13~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector12~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector11~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector10~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector9~0_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN189_BDD190 ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN187_BDD188 ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_RESYN289_BDD290 ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~6_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[5]~feeder_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux26~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4_combout ; wire \neorv32_top_inst|rdata_v~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux27~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~60_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~61_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~6_combout ; wire \neorv32_top_inst|rdata_v~46_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~18_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux85~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~101_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~15_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~2_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux10~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11_combout ; wire \neorv32_top_inst|rdata_v~8_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~6_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~3_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 ; wire \neorv32_top_inst|rdata_v~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~63_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~105_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~7_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~58_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~59_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux11~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29_combout ; wire \neorv32_top_inst|rdata_v~44_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~6_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~4_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 ; wire \neorv32_top_inst|rdata_v~45_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~61_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN179_BDD180 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN177_BDD178 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN181_BDD182 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN183_BDD184 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN211_BDD212 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN209_BDD210 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM16 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE_RTM025~combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM24 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~6_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~42_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~43_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux8~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21_combout ; wire \neorv32_top_inst|rdata_v~31_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 ; wire \neorv32_top_inst|rdata_v~32_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~113_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ; wire \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q ; wire \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|Selector0~2_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q ; wire \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~12_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~11_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~13_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~14_combout ; wire \neorv32_top_inst|Equal0~1_combout ; wire \neorv32_top_inst|io_rden~0_combout ; wire \neorv32_top_inst|io_rden~combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux5~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~6_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~5_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout ; wire \neorv32_top_inst|rdata_v~20_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~36_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~38_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~40_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~34 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux0~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7_combout ; wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3_combout ; wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1_combout ; wire \neorv32_top_inst|rdata_v~12_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~6_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~4_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 ; wire \neorv32_top_inst|rdata_v~13_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~32_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~31_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~33_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_OTERM146 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_OTERM144 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~6_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~7_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[29]~feeder_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux2~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15_combout ; wire \neorv32_top_inst|rdata_v~23_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux89~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~16_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~57_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~175_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[39]~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~54_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~55_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~6_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux13~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27_combout ; wire \neorv32_top_inst|rdata_v~41_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~23_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~24_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~13_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal19~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux7~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~6_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~3_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~34_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~35_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout ; wire \neorv32_top_inst|rdata_v~25_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~51_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~52_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_OTERM160 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_OTERM162 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector31~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector30~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~48_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~49_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux25~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~6_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~5_combout ; wire \neorv32_top_inst|rdata_v~36_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][6]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][6]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~14_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~28_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~29_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_OTERM170 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_OTERM168 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector34~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector33~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~6_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~5_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux1~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 ; wire \neorv32_top_inst|rdata_v~16_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~26_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~27_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~29_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~7_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~5_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~4_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~6_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux15~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7_combout ; wire \neorv32_top_inst|rdata_v~5_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout ; wire \neorv32_top_inst|rdata_v~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~17_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~19_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~20_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ; wire \neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ; wire \neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~q ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~q ; wire \neorv32_top_inst|ack_v~0_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|control~2_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|control~6_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|control~5_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|control~3_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|control~4_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout ; wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ; wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ; wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux14~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2_combout ; wire \neorv32_top_inst|rdata_v~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~6_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~3_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~5_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 ; wire \neorv32_top_inst|rdata_v~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[1]~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM36 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM32 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~25_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~63_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_RTM045_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart_OTERM44 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~1_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~3_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[1]~feeder_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2_combout ; wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux30~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1_combout ; wire \neorv32_top_inst|rdata_v~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~34_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~35_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0_combout ; wire [0:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl ; wire [0:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata ; wire [20:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf ; wire [20:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd ; wire [15:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc ; wire [4:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt ; wire [31:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi ; wire [31:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo ; wire [31:0] \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi ; wire [5:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch ; wire [68:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth ; wire [11:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf ; wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret ; wire [6:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o ; wire [31:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi ; wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt ; wire [68:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient ; wire [3:0] \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout ; wire [0:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last ; wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle ; wire [31:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd ; wire [31:0] \neorv32_top_inst|neorv32_sysinfo_inst|data_o ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o ; wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle ; wire [31:0] \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo ; wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt ; wire [2:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff ; wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg ; wire [3:0] \neorv32_top_inst|rstn_int_sreg ; wire [4:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt ; wire [31:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo ; wire [63:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder ; wire [31:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o ; wire [31:0] \neorv32_top_inst|neorv32_bus_keeper_inst|data_o ; wire [31:0] \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o ; wire [39:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; wire [39:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [2]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [3]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [4]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [5]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [6]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [7]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [8]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [9]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [10]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [11]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [12]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [13]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [14]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [15]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [16]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [17]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [18]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [19]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [20]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [21]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [22]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [23]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [24]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [25]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [26]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [27]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [28]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [29]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [30]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [31]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [2]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [3]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [4]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [5]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [6]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [7]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [8]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [9]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [10]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [11]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [12]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [13]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [14]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [15]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [16]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [17]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [18]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [19]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [20]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [21]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [22]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [23]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [24]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [25]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [26]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [27]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [28]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [29]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [30]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [31]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [2]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [3]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [4]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [2]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [3]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [4]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [1]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [2]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [3]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [4]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [1]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [2]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [3]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [4]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [2]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [2]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [1]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [2]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [1]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [2]; // Location: IOOBUF_X89_Y6_N5 cyclonev_io_obuf \gpio_o[0]~output ( .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [0]), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), .parallelterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(gpio_o[0]), .obar()); // synopsys translate_off defparam \gpio_o[0]~output .bus_hold = "false"; defparam \gpio_o[0]~output .open_drain_output = "false"; defparam \gpio_o[0]~output .shift_series_termination_control = "false"; // synopsys translate_on // Location: IOOBUF_X84_Y0_N2 cyclonev_io_obuf \gpio_o[1]~output ( .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [1]), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), .parallelterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(gpio_o[1]), .obar()); // synopsys translate_off defparam \gpio_o[1]~output .bus_hold = "false"; defparam \gpio_o[1]~output .open_drain_output = "false"; defparam \gpio_o[1]~output .shift_series_termination_control = "false"; // synopsys translate_on // Location: IOOBUF_X80_Y0_N19 cyclonev_io_obuf \gpio_o[2]~output ( .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [2]), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), .parallelterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(gpio_o[2]), .obar()); // synopsys translate_off defparam \gpio_o[2]~output .bus_hold = "false"; defparam \gpio_o[2]~output .open_drain_output = "false"; defparam \gpio_o[2]~output .shift_series_termination_control = "false"; // synopsys translate_on // Location: IOOBUF_X60_Y0_N19 cyclonev_io_obuf \gpio_o[3]~output ( .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [3]), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), .parallelterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(gpio_o[3]), .obar()); // synopsys translate_off defparam \gpio_o[3]~output .bus_hold = "false"; defparam \gpio_o[3]~output .open_drain_output = "false"; defparam \gpio_o[3]~output .shift_series_termination_control = "false"; // synopsys translate_on // Location: IOOBUF_X80_Y0_N2 cyclonev_io_obuf \gpio_o[4]~output ( .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [4]), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), .parallelterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(gpio_o[4]), .obar()); // synopsys translate_off defparam \gpio_o[4]~output .bus_hold = "false"; defparam \gpio_o[4]~output .open_drain_output = "false"; defparam \gpio_o[4]~output .shift_series_termination_control = "false"; // synopsys translate_on // Location: IOOBUF_X60_Y0_N2 cyclonev_io_obuf \gpio_o[5]~output ( .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [5]), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), .parallelterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(gpio_o[5]), .obar()); // synopsys translate_off defparam \gpio_o[5]~output .bus_hold = "false"; defparam \gpio_o[5]~output .open_drain_output = "false"; defparam \gpio_o[5]~output .shift_series_termination_control = "false"; // synopsys translate_on // Location: IOOBUF_X52_Y0_N19 cyclonev_io_obuf \gpio_o[6]~output ( .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [6]), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), .parallelterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(gpio_o[6]), .obar()); // synopsys translate_off defparam \gpio_o[6]~output .bus_hold = "false"; defparam \gpio_o[6]~output .open_drain_output = "false"; defparam \gpio_o[6]~output .shift_series_termination_control = "false"; // synopsys translate_on // Location: IOOBUF_X52_Y0_N2 cyclonev_io_obuf \gpio_o[7]~output ( .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [7]), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), .parallelterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(gpio_o[7]), .obar()); // synopsys translate_off defparam \gpio_o[7]~output .bus_hold = "false"; defparam \gpio_o[7]~output .open_drain_output = "false"; defparam \gpio_o[7]~output .shift_series_termination_control = "false"; // synopsys translate_on // Location: IOIBUF_X32_Y0_N1 cyclonev_io_ibuf \clk_i~input ( .i(clk_i), .ibar(gnd), .dynamicterminationcontrol(gnd), .o(\clk_i~input_o )); // synopsys translate_off defparam \clk_i~input .bus_hold = "false"; defparam \clk_i~input .simulate_z_as = "z"; // synopsys translate_on // Location: CLKCTRL_G6 cyclonev_clkena \clk_i~inputCLKENA0 ( .inclk(\clk_i~input_o ), .ena(vcc), .outclk(\clk_i~inputCLKENA0_outclk ), .enaout()); // synopsys translate_off defparam \clk_i~inputCLKENA0 .clock_type = "global clock"; defparam \clk_i~inputCLKENA0 .disable_mode = "low"; defparam \clk_i~inputCLKENA0 .ena_register_mode = "always enabled"; defparam \clk_i~inputCLKENA0 .ena_register_power_up = "high"; defparam \clk_i~inputCLKENA0 .test_syn = "high"; // synopsys translate_on // Location: LABCELL_X64_Y16_N42 cyclonev_lcell_comb \neorv32_top_inst|rstn_int_sreg[0]~feeder ( // Equation(s): // \neorv32_top_inst|rstn_int_sreg[0]~feeder_combout = VCC .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rstn_int_sreg[0]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rstn_int_sreg[0]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|rstn_int_sreg[0]~feeder .lut_mask = 64'hFFFFFFFFFFFFFFFF; defparam \neorv32_top_inst|rstn_int_sreg[0]~feeder .shared_arith = "off"; // synopsys translate_on // Location: IOIBUF_X36_Y0_N1 cyclonev_io_ibuf \rstn_i~input ( .i(rstn_i), .ibar(gnd), .dynamicterminationcontrol(gnd), .o(\rstn_i~input_o )); // synopsys translate_off defparam \rstn_i~input .bus_hold = "false"; defparam \rstn_i~input .simulate_z_as = "z"; // synopsys translate_on // Location: FF_X64_Y16_N44 dffeas \neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE ( .clk(!\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|rstn_int_sreg[0]~feeder_combout ), .asdata(vcc), .clrn(\rstn_i~input_o ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y16_N9 cyclonev_lcell_comb \neorv32_top_inst|rstn_int_sreg[1]~feeder ( // Equation(s): // \neorv32_top_inst|rstn_int_sreg[1]~feeder_combout = \neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE_q .dataa(gnd), .datab(!\neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rstn_int_sreg[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rstn_int_sreg[1]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|rstn_int_sreg[1]~feeder .lut_mask = 64'h3333333333333333; defparam \neorv32_top_inst|rstn_int_sreg[1]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y16_N11 dffeas \neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE ( .clk(!\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|rstn_int_sreg[1]~feeder_combout ), .asdata(vcc), .clrn(\rstn_i~input_o ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|rstn_int_sreg[2]~feeder ( // Equation(s): // \neorv32_top_inst|rstn_int_sreg[2]~feeder_combout = \neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE_q .dataa(gnd), .datab(!\neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rstn_int_sreg[2]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rstn_int_sreg[2]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|rstn_int_sreg[2]~feeder .lut_mask = 64'h3333333333333333; defparam \neorv32_top_inst|rstn_int_sreg[2]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y16_N26 dffeas \neorv32_top_inst|rstn_int_sreg[2] ( .clk(!\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|rstn_int_sreg[2]~feeder_combout ), .asdata(vcc), .clrn(\rstn_i~input_o ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|rstn_int_sreg [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|rstn_int_sreg[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|rstn_int_sreg[2] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y16_N25 dffeas \neorv32_top_inst|rstn_int_sreg[2]~DUPLICATE ( .clk(!\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|rstn_int_sreg[2]~feeder_combout ), .asdata(vcc), .clrn(\rstn_i~input_o ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|rstn_int_sreg[2]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|rstn_int_sreg[2]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|rstn_int_sreg[2]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y16_N17 dffeas \neorv32_top_inst|rstn_int_sreg[3] ( .clk(!\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rstn_int_sreg[2]~DUPLICATE_q ), .clrn(\rstn_i~input_o ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|rstn_int_sreg [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|rstn_int_sreg[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|rstn_int_sreg[3] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y16_N43 dffeas \neorv32_top_inst|rstn_int_sreg[0] ( .clk(!\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|rstn_int_sreg[0]~feeder_combout ), .asdata(vcc), .clrn(\rstn_i~input_o ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|rstn_int_sreg [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|rstn_int_sreg[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|rstn_int_sreg[0] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y16_N10 dffeas \neorv32_top_inst|rstn_int_sreg[1] ( .clk(!\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|rstn_int_sreg[1]~feeder_combout ), .asdata(vcc), .clrn(\rstn_i~input_o ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|rstn_int_sreg [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|rstn_int_sreg[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|rstn_int_sreg[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|tmp_v~0 ( // Equation(s): // \neorv32_top_inst|tmp_v~0_combout = ( \neorv32_top_inst|rstn_int_sreg [0] & ( \neorv32_top_inst|rstn_int_sreg [1] & ( (\neorv32_top_inst|rstn_int_sreg [2] & \neorv32_top_inst|rstn_int_sreg [3]) ) ) ) .dataa(!\neorv32_top_inst|rstn_int_sreg [2]), .datab(!\neorv32_top_inst|rstn_int_sreg [3]), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|rstn_int_sreg [0]), .dataf(!\neorv32_top_inst|rstn_int_sreg [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|tmp_v~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|tmp_v~0 .extended_lut = "off"; defparam \neorv32_top_inst|tmp_v~0 .lut_mask = 64'h0000000000001111; defparam \neorv32_top_inst|tmp_v~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y16_N14 dffeas \neorv32_top_inst|rstn_int ( .clk(!\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|tmp_v~0_combout ), .asdata(vcc), .clrn(\rstn_i~input_o ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|rstn_int~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|rstn_int .is_wysiwyg = "true"; defparam \neorv32_top_inst|rstn_int .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y18_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y18_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y14_N34 dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~6_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 // )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y17_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector32~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector32~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] & ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113_sumout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] & ( // !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113_sumout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113_sumout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector32~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector32~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector32~0 .lut_mask = 64'h0044BBFF0000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector32~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4]), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27 .lut_mask = 64'h333333330000FFFF; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y17_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector29~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector29~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7] & ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7] & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # // ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7] & ( // !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout & // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector29~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector29~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector29~0 .lut_mask = 64'h0500AFFF0000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector29~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y22_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q ) + ( VCC ) + ( !VCC )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q ) + ( VCC ) + ( !VCC )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39 .lut_mask = 64'hF000F000F000F000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0 .lut_mask = 64'h03030F0F3333FFFF; defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N2 dffeas \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0 .lut_mask = 64'h0000F0F00000F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & // ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0 .lut_mask = 64'hCC00CC00F0F00000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5])) # // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28 .lut_mask = 64'h505F505F505F505F; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2 ( // Equation(s): // \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datae(!\neorv32_top_inst|io_rden~combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2 .lut_mask = 64'h0000000000000010; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y20_N55 dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~2_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y18_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector4~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0 .lut_mask = 64'h0000000000F000F0; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N28 dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector4~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y18_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0 .lut_mask = 64'h03570357FFFFFFFF; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N59 dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y18_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q & !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1 .lut_mask = 64'hF000F000F000F000; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0 .lut_mask = 64'h0F0F0F0F0F000F00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y18_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1 .lut_mask = 64'h00AA00AA00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y18_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0 .lut_mask = 64'h0055005555005500; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0 .lut_mask = 64'h000000000000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y20_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~45_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y16_N25 dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~10 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~10 .lut_mask = 64'h0050005022FF22FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~10 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector28~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector28~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( ((\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout & !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector28~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector28~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector28~0 .lut_mask = 64'h0000FFFF0C003FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector28~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0 .lut_mask = 64'hF000F00000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~1_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~1 .lut_mask = 64'h0001000100010001; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y19_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~1_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91 .lut_mask = 64'h00000000010B010B; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y20_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~20_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y19_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux14~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux14~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux14~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux14~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux14~0 .lut_mask = 64'h1D1D1D1D55555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux14~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux14~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1 .lut_mask = 64'h00000000FFFF0000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y21_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~18_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X57_Y21_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~18_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y20_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~25_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y19_N49 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y20_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12 .lut_mask = 64'hFF0FFF0FFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y20_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12~combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y19_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~1_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0 .lut_mask = 64'h0505050500000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y19_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0 .lut_mask = 64'h303030303F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y16_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[1]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[1]~feeder_combout = VCC .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[1]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[1]~feeder .lut_mask = 64'hFFFFFFFFFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[1]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y16_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[1]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y17_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] // ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1 .lut_mask = 64'h00000000F0F0F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y19_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [1] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0 .lut_mask = 64'h0500050000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y17_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0 .lut_mask = 64'h00AA00AA00000000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3 .lut_mask = 64'h33C033C000000000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0 .lut_mask = 64'h0011000002000002; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y18_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27] .power_up = "low"; // synopsys translate_on // Location: FF_X66_Y19_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y17_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout = ( !\neorv32_top_inst|ack_v~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|ack_v~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0 .lut_mask = 64'h0F0F0F0F00000000; defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y20_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39] ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q // )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0 .lut_mask = 64'h00500050FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y20_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y20_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[21] ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt [21] = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~DUPLICATE_q ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt [21]), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[21] .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[21] .lut_mask = 64'h0FFF0FFF0FFF0FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[21] .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y20_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [13] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1 .lut_mask = 64'hFFFF000000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y19_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~7 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~7_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~7 .lut_mask = 64'h550555050F5F0F5F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~7 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y19_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y19_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~16_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X53_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~4_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~4 .lut_mask = 64'h66664444CCCC0000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~1 .lut_mask = 64'h0AC88E1106D04913; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~2 .lut_mask = 64'h0C0008E117010400; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~0 .lut_mask = 64'h8000202904020014; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~6_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datag(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~6 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~6 .lut_mask = 64'h0004420000040800; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~6 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~6_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~6_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~0_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~1_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~6_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~2_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~6_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~1_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~2_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~3 .lut_mask = 64'h0F5500330F55FF33; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~5_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~3_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~4_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~3_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~4_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~5 .lut_mask = 64'h005500550F5F0F5F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X52_Y20_N31 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux17~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y20_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~5 ( // Equation(s): // \neorv32_top_inst|neorv32_sysinfo_inst|data_o~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~5 .lut_mask = 64'hF000F00000F000F0; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~6 ( // Equation(s): // \neorv32_top_inst|neorv32_sysinfo_inst|data_o~6_combout = ( \neorv32_top_inst|io_rden~combout & ( (\neorv32_top_inst|neorv32_sysinfo_inst|data_o~5_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o~5_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~6 .lut_mask = 64'h0000000000010001; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y20_N31 dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y13_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1 .lut_mask = 64'h0000000000500050; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y13_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0 .lut_mask = 64'h0000000010101010; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y21_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~0 .lut_mask = 64'h0022FF220020FF20; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~1 .lut_mask = 64'h11231101112311FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1 .lut_mask = 64'hFF00FF0000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0 .lut_mask = 64'h5000500000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0 .lut_mask = 64'h00000000FF00FF00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout $ ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )))) ) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48 .lut_mask = 64'h00000000DF5FDE5A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~49_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~1_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux92~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~49_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~49 .lut_mask = 64'h0F5500553F553355; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~49 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y20_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~49_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[15] .power_up = "low"; // synopsys translate_on // Location: FF_X57_Y21_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~28_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X51_Y17_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~5 .lut_mask = 64'h00A000A0A000A000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~3 .lut_mask = 64'h484C0CC35EE84822; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~1 .lut_mask = 64'h0C6A21100C483010; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~2 .lut_mask = 64'h88A5C17BB0B48AF6; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~0 .lut_mask = 64'h0F4475000A008E54; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~1_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~3_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~0_combout // & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~2_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~1_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~3_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~2_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~3_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~1_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~4 .lut_mask = 64'h00331D1DCCFF1D1D; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~6_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~4_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~5_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~4_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~5_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~5_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~6 .lut_mask = 64'h003300330F3F0F3F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X51_Y17_N13 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux22~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y22_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0 .lut_mask = 64'h0000FFFFCCCCCCCC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y22_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y15_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q // ) + ( !VCC )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ) + // ( !VCC )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109 .lut_mask = 64'h0000F0F0000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout // ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0 .lut_mask = 64'h3333333300000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y19_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y16_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y12_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y21_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal67~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal67~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal67~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal67~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal67~0 .lut_mask = 64'hA000A00000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal67~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y21_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0 .lut_mask = 64'h0050005000500050; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~79 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~79_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~79_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~79 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~79 .lut_mask = 64'h04000400F7FFF7FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~79 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y22_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1 .lut_mask = 64'h0000AAAA00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y22_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~11_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][15]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][15] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y22_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0 .lut_mask = 64'h00000F0F00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y22_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~11_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][15]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][15] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y22_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][15]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][15]~q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][15]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][15]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y22_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~13_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y22_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~78 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~78_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~78_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~78 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~78 .lut_mask = 64'h335533550F550F55; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~78 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~80 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~80_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~79_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~78_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~78_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~79_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~78_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~80_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~80 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~80 .lut_mask = 64'h003300330C3F0C3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~80 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y21_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~80_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y21_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~0 .lut_mask = 64'h511151115D115D11; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y21_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~1_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~1 .lut_mask = 64'h0A020A020AFF0AFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y22_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~23_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X50_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~3 .lut_mask = 64'h50D01588C028B029; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~2 .lut_mask = 64'h584211450983081F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~6_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~6 .lut_mask = 64'h10A0004200006A50; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~6 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~1 .lut_mask = 64'h3227402620264A84; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~4_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~6_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~3_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~2_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~6_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~1_combout // & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~3_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~2_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~6_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~3_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~2_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~6_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~3_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~2_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~3_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~2_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~6_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~4 .lut_mask = 64'h04340737C4F4C7F7; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~4 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~0 .lut_mask = 64'h0088008822002200; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2 .lut_mask = 64'h0000000022222222; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~5_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2_combout & ( // (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~0_combout )))) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~0_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~4_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~4_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~4_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~5 .lut_mask = 64'h0505050505CD05CD; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X52_Y20_N34 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux18~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[13] .power_up = "low"; // synopsys translate_on // Location: FF_X56_Y19_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~6_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q )))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12 .lut_mask = 64'h001B001B001B001B; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0 .lut_mask = 64'h0F0F0F0F00000000; defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y19_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y19_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~feeder_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y19_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~feeder_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y19_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~73 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~73_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17]~q ) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17]~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~73_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~73 .lut_mask = 64'h00550055AAFFAAFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~73 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y19_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y19_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~73_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout )))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~q & ((((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~73_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17]~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~73_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout )))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17]~q & // ((((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~73_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~73_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~q ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97 .lut_mask = 64'h0F5F0F5F03FF03FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y15_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10 .lut_mask = 64'h0000AAAA00AAAAAA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y15_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y21_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0 .lut_mask = 64'h8000000000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y21_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1 .lut_mask = 64'h0808080800000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0 .lut_mask = 64'h0000000044004400; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) // ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11 .lut_mask = 64'h0000F0F05050F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y19_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y16_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf // [5])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire .lut_mask = 64'h00000000A000A000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y16_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y16_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9 .lut_mask = 64'hA0A0A0A000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ))) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0 .lut_mask = 64'h0055005505550555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y16_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y16_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]))) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7 .lut_mask = 64'h44444444444C444C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y16_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y16_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout // & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf // [6]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9 .lut_mask = 64'h00CC00CC04CC04CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y16_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y16_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9]))) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6 .lut_mask = 64'h00CC00CC40CC40CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y16_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y16_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6] ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3 .lut_mask = 64'h00A000A0F0F0F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0] ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf // [3]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4 .lut_mask = 64'hCC4CCC4CCCCCCCCC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y16_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y16_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3] // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0 .lut_mask = 64'hC0C0000000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y16_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y17_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_NEW_REG91 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_OTERM92 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_NEW_REG91 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_NEW_REG91 .power_up = "low"; // synopsys translate_on // Location: FF_X66_Y13_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19] .power_up = "low"; // synopsys translate_on // Location: FF_X66_Y17_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_NEW_REG93 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_OTERM94 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_NEW_REG93 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_NEW_REG93 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_OTERM94 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_OTERM92 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_OTERM94 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_OTERM92 ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_OTERM94 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_OTERM92 ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]_OTERM94 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13 .lut_mask = 64'h0000FFFF0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y12_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y12_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y12_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [19])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [19])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [19]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35 .lut_mask = 64'h353500003535FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y21_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0 .lut_mask = 64'h0808080800000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y21_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0 .lut_mask = 64'h0000000010101010; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y21_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24])) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0 .lut_mask = 64'h00000000C000C000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0 .lut_mask = 64'h0000000033333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y13_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15 .lut_mask = 64'h0F0F0FCF000000CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y12_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y13_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1 .lut_mask = 64'h00000000EAAAEAAA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y13_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0 .lut_mask = 64'h0000000000050005; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y13_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [20] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0 .lut_mask = 64'h00000000000C000C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y21_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0 .lut_mask = 64'h0000000000F000F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y21_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1 .lut_mask = 64'h000000000F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0 .lut_mask = 64'h0004000400000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y13_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y13_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3 .lut_mask = 64'h0000333355557777; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2 .lut_mask = 64'h00F000F000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~2_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~2_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~2_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1 .lut_mask = 64'h2000200020000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0 .lut_mask = 64'h0000000008080000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1 .lut_mask = 64'h33373337FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y19_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2 .lut_mask = 64'h55FF55FF555F555F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y19_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y13_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1 .lut_mask = 64'h0000C0C00000C0C0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y13_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[0] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2 .lut_mask = 64'h000C000C00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y13_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [21])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~3 .lut_mask = 64'h0000000000EA00EA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y16_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7] & // ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf // [5])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf // [3]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf // [1] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf // [0]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2 .lut_mask = 64'hCCFF4CFF4CFF4CFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y16_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y14_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12 .lut_mask = 64'h303030303F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y13_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13 .lut_mask = 64'h0F3F0F0F00330000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y14_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y13_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0 .lut_mask = 64'h0000000000040000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y19_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] // & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0 .lut_mask = 64'h3300330000440044; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y20_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~54_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y14_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux13~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux13~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux13~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux13~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux13~0 .lut_mask = 64'h3330333F3330333F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux13~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y14_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux13~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0 .lut_mask = 64'h333300003333FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y17_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X77_Y17_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0 .lut_mask = 64'hAAA0AAA0FF0FFF0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X77_Y16_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X77_Y16_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X77_Y17_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1] & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0 .lut_mask = 64'hBB83BB83BB33BB33; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y16_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X77_Y16_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt // [1] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1] & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0 .lut_mask = 64'hAA80FF3FAA00FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X77_Y16_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X79_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout = ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0 .lut_mask = 64'hC0C0000000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X70_Y16_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X70_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0 .lut_mask = 64'hF300FCFCF300FCFC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X70_Y16_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y19_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~1_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y19_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[34] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[34] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[34] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y16_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0 .lut_mask = 64'h0000AAAAAAAAAAAA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y16_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0 .lut_mask = 64'h0000F0F00000F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE_q ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1 .lut_mask = 64'h00FFF3FF00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y16_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y16_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0 .lut_mask = 64'h0505000055555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y16_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y16_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start .lut_mask = 64'h0F0F0F0F00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y14_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux15~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux15~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux15~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux15~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux15~0 .lut_mask = 64'h3330333F3330333F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux15~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y14_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux15~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux16~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux16~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux16~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux16~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux16~0 .lut_mask = 64'h0F0A0F0A0F5F0F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux16~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y19_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux16~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y13_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y20_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_NEW_REG117 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_OTERM118 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_NEW_REG117 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_NEW_REG117 .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y18_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]_NEW_REG123 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]_OTERM124 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]_NEW_REG123 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]_NEW_REG123 .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y18_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]_NEW_REG125 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]_OTERM126 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]_NEW_REG125 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]_NEW_REG125 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]_OTERM124 ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]_OTERM126 ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]_OTERM124 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]_OTERM126 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29 .lut_mask = 64'h00FF00FF0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y12_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y13_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~109 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~109_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [12])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [12])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~109_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~109 .lut_mask = 64'h05AF000005AFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~109 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y13_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~109_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y16_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y16_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~107 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~107_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~107_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~107 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~107 .lut_mask = 64'h00000F0FCCCC0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~107 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y16_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~108 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~108_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~107_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~107_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~107_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~108_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~108 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~108 .lut_mask = 64'h000F000F333F333F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~108 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y13_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11 .lut_mask = 64'h5557555700030003; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y16_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~108_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y12_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [12] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval // [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [20] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3 .lut_mask = 64'h0000010000000105; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y13_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q )) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0 .lut_mask = 64'h5FFF5FFF00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y13_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y13_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2 .lut_mask = 64'h0F0F0A0A0F0F0000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y13_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0 .lut_mask = 64'h0000000000000080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y12_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0 .lut_mask = 64'h0000020200000202; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y12_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8 .lut_mask = 64'hAAAA55550000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y14_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y21_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal67~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal265~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal67~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal265~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3 .lut_mask = 64'h0002000200000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y21_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [27]) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5 .lut_mask = 64'h3030303030303030; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y21_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[0] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y12_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0] ) + ( VCC ) + ( !VCC )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(), .sumout(), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y12_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y12_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~154 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~154_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113_sumout // )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~154_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~154 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~154 .lut_mask = 64'h0A5F0A5F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~154 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y12_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~154_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y13_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~143 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~143_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105_sumout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~143_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~143 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~143 .lut_mask = 64'h00003F3FC0C0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~143 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y14_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~146 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~146_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~146_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~146 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~146 .lut_mask = 64'h0F0F00000F0FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~146 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y14_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~146_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~144 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~144_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~144_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~144 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~144 .lut_mask = 64'h00000F0FCCCC0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~144 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~145 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~145_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~144_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~144_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~144_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~144_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~145_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~145 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~145 .lut_mask = 64'h00000F0F33333F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~145 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y16_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~145_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y12_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10 .lut_mask = 64'hFFFFFFFF00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y12_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y12_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ))) ) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3 .lut_mask = 64'hE0E0E000EEEEEE00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y15_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y21_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal67~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal67~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~1 .lut_mask = 64'h0000000000040004; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y15_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y12_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7 .lut_mask = 64'h0A0AF5F50A0AF5F5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y21_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [27] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2 .lut_mask = 64'h00000000AAAAAAAA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y21_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[0] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y12_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0] ) + ( VCC ) + ( !VCC )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(), .sumout(), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y12_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y14_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~155 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~155_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113_sumout // )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~155_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~155 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~155 .lut_mask = 64'h05AF05AF00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~155 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y14_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~155_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~142 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~142_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q // & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~142_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~142 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~142 .lut_mask = 64'h0000CFCF3030FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~142 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y12_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~142_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] // & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0 .lut_mask = 64'h0000000044444444; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1 .lut_mask = 64'h0E0E08EC0E0E19FD; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0 .lut_mask = 64'h3F003F003F003F00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0 .lut_mask = 64'h00CC00CC05AF00AA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~47 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~47_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0_combout & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~47_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~47 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~47 .lut_mask = 64'h085D085D2A7F2A7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~47 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y20_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~47_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [3] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [3] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20])))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2 .lut_mask = 64'h555544550F55440F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y19_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y19_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0 .lut_mask = 64'h0505050500000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0 .lut_mask = 64'h3333333300000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y19_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [2] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4])) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0 .lut_mask = 64'h000A000AF00AF00A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y20_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42 .lut_mask = 64'h00000000F0AAF0AA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~45_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ))) ) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux69~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~45_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~45 .lut_mask = 64'hAA220000AA0A0000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~45 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~44 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~44_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~44_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~44 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~44 .lut_mask = 64'hFFCCFFCC00330033; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~44 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~46 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~46_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~45_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~44_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~45_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~44_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~45_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~45_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~44_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~46_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~46 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~46 .lut_mask = 64'h00AA00AA03AB03AB; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~46 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y20_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~46_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[8] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X78_Y20_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0 .lut_mask = 64'h00000000AAAAAAAA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~1 .lut_mask = 64'h00AA00AA0FAF0FAF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~1_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y19_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~1_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0 .lut_mask = 64'h0500050000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y19_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[33] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[33] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[33] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y19_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] // ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0 .lut_mask = 64'h0F0D0F0D0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1 .lut_mask = 64'h000080003EF7BEF7; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~2 .lut_mask = 64'h00CC00CC33FF33FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y19_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~2_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout // )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [31]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [33] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7 .lut_mask = 64'hDC101010DF131313; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y19_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6 .lut_mask = 64'hD11DD11D111D111D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y19_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1] .power_up = "low"; // synopsys translate_on // Location: FF_X66_Y19_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~37_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~37_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~37 .lut_mask = 64'hF0F00000F0F040C0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~37 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y20_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~41_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~41_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~41 .lut_mask = 64'h00C900C904CD04CD; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~41 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~43 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~43_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~41_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~41_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~37_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~41_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~41_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~37_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~37_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~42_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~41_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~43_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~43 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~43 .lut_mask = 64'h0F000F0F3F333F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~43 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y19_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~43_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y19_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout // & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~1 .lut_mask = 64'h3300330077557755; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~1_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[2] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X78_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~3 .lut_mask = 64'h00000F0FF0F0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~3_combout & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~3_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4 .lut_mask = 64'h80002F0080FF2FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y16_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0 .lut_mask = 64'h00F000F033F333F3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X77_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3] ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3] ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0 .lut_mask = 64'h33CCCCCCCCCCCCCC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y16_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2 .lut_mask = 64'hFFFFFFFF55FF55FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y16_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~2 .lut_mask = 64'h0000F0110000F0DD; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y16_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~2_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y19_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0 .lut_mask = 64'h00AA00AA0FAF0FAF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X80_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0 .lut_mask = 64'hA0A0000000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y16_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1 .lut_mask = 64'h010DF1FD010D010D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y16_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X77_Y17_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0 .lut_mask = 64'hC0C0000000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X85_Y16_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0 .lut_mask = 64'h00F000F000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X85_Y16_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy .power_up = "low"; // synopsys translate_on // Location: FF_X85_Y16_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff .power_up = "low"; // synopsys translate_on // Location: LABCELL_X85_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0 .lut_mask = 64'h00000000FF00FF00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y20_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_NEW_REG149 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_OTERM150 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_NEW_REG149 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_NEW_REG149 .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y19_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_NEW_REG147 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_OTERM148 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_NEW_REG147 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_NEW_REG147 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_OTERM148 & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_OTERM150 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_OTERM148 & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_OTERM150 ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_OTERM150 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]_OTERM148 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11 .lut_mask = 64'h00CC00CC33FF33FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y14_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y14_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y14_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y14_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y14_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y14_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y21_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4 .lut_mask = 64'h1111111111111111; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y21_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[1] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y14_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y14_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26])) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1 .lut_mask = 64'h0500050050005000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y21_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~80_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y14_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0 .lut_mask = 64'h1000100000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y14_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [24])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2 .lut_mask = 64'h000000000F0F0A00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y14_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6 .lut_mask = 64'h00EE00EE00AA00AA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y14_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~123 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~123_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~123_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~123 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~123 .lut_mask = 64'h000000000000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~123 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y14_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~123_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[4] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y13_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y15_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~124 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~124_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~123_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~123_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~123_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~123_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout // & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~123_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~124_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~124 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~124 .lut_mask = 64'h0044000FFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~124 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y15_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~124_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y13_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [4] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [4]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [4] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [4]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ))) ) ) ) # // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [4]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4] & // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [4]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [4]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3 .lut_mask = 64'hFFCCF0C0AA88A080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0 .lut_mask = 64'h0000000000040004; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y13_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y14_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0 .lut_mask = 64'h0000000000050005; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y14_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0_combout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1 .lut_mask = 64'h0000000000001000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y12_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4 .lut_mask = 64'h0005000500000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y12_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y12_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y14_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y14_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y12_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y12_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3 .lut_mask = 64'h000000000000000F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0 .lut_mask = 64'h000F000F555F555F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y12_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [4]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [4] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [4]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1 .lut_mask = 64'h000033330F0F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y12_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2 .lut_mask = 64'hF0F5000000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y12_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y12_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~120 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~120_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [4])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [4]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~120_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~120 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~120 .lut_mask = 64'h028A028A57DF57DF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~120 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y12_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~120_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y12_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4] & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4] ) ) // # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ) // # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout // & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4 .lut_mask = 64'hFFFFCCCFFFFFDDDF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y12_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X53_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~1 .lut_mask = 64'h2020000000000000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y16_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y15_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q // ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101 .lut_mask = 64'h0000555500000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y15_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y16_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y16_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y16_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~147 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~147_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [3]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [3])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [3]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~147_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~147 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~147 .lut_mask = 64'h048C048C37BF37BF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~147 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y16_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~147_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[3] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y14_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[3] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y16_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y16_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3])))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec // [3] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout ))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0 .lut_mask = 64'h1B1B1F1F11FF1FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y16_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y19_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_NEW_REG165 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_OTERM166 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_NEW_REG165 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_NEW_REG165 .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X78_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN275 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN275_BDD276 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN275_BDD276 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN275 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN275 .lut_mask = 64'h3333FFFF3333FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN275 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y19_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y16_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~150 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~150_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) // ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~150_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~150 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~150 .lut_mask = 64'h00000F0FC0C0CFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~150 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y16_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~151 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~151_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~150_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~150_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~150_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~151_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~151 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~151 .lut_mask = 64'h000F000F555F555F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~151 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y16_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~151_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y16_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~152 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~152_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~152_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~152 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~152 .lut_mask = 64'h00F000F00FFF0FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~152 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y16_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~152_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[3] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y14_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [3] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [3] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [3] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [3]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3 .lut_mask = 64'hFCA8FCA8FCA80000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y13_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[3] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y13_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0 .lut_mask = 64'h000000000000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y15_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~175_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y12_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y12_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4 .lut_mask = 64'h050505050505FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y12_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~148_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y13_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~149 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~149_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~149_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~149 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~149 .lut_mask = 64'h0000FF5500AAFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~149 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y13_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~149_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[3] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1 .lut_mask = 64'h0303030303FF03FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y12_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2 .lut_mask = 64'hFFCCAA8800000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y12_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ) # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3])) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout // & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5 .lut_mask = 64'hFFFFFFFFBBFFBFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y12_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y21_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN241 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN241_BDD242 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN241_BDD242 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN241 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN241 .lut_mask = 64'h0F0F0F0FFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN241 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y19_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~4 .lut_mask = 64'h000A000AA000A000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~2 .lut_mask = 64'h0200020040002800; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~6_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~6 .lut_mask = 64'h002880A029280214; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~0 .lut_mask = 64'h0000004000044000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~1 .lut_mask = 64'h0210000000000000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~2_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~6_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~1_combout // & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~2_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~6_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~1_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~2_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~6_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~3 .lut_mask = 64'h0F0055330FFF5533; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~5_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~3_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~4_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~3_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~4_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~4_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~5 .lut_mask = 64'h0303030303FF03FF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X50_Y19_N7 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux12~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[19] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y18_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_NEW_REG121 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM122 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_NEW_REG121 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_NEW_REG121 .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y18_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_NEW_REG119 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM120 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_NEW_REG119 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_NEW_REG119 .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM120 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM122 ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM120 & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM122 ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM122 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM120 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0 .lut_mask = 64'h3F333F333F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y16_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]_NEW_REG49 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]_OTERM50 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]_NEW_REG49 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]_NEW_REG49 .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y19_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN267 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN267_BDD268 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN267_BDD268 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN267 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN267 .lut_mask = 64'h55555555FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN267 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN237 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN237_BDD238 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN237_BDD238 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN237 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN237 .lut_mask = 64'h0F0FFFFF0F0FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN237 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y17_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y15_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y15_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q // & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65 .lut_mask = 64'h025702578ADF8ADF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y15_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[25] .power_up = "low"; // synopsys translate_on // Location: FF_X66_Y13_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[25] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y11_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y11_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [24]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [24] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [24]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60 .lut_mask = 64'h0C0C44443F3F7777; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y11_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN283 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN283_BDD284 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN283_BDD284 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN283 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN283 .lut_mask = 64'h55555555FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN283 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0 .lut_mask = 64'h0404040404040404; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y19_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN215 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN215_BDD216 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN215_BDD216 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN215 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN215 .lut_mask = 64'h00FF00FFFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN215 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N1 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y22_N17 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[0] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y18_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]_NEW_REG127 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]_OTERM128 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]_NEW_REG127 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]_NEW_REG127 .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y12_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y12_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [11] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [11]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0 .lut_mask = 64'h000000000000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y12_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y12_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y14_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~136 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~136_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101_sumout // )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~136_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~136 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~136 .lut_mask = 64'h05AF05AF00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~136 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y14_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y14_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~136_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85 .lut_mask = 64'h0000FF3300CCFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y15_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y12_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y14_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~92 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~92_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret // [9])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9]))))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~92_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~92 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~92 .lut_mask = 64'h04BF04BF04BF04BF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~92 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~128 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~128_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~128_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~128 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~128 .lut_mask = 64'h000000FFAA00AAFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~128 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y16_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~129 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~129_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~128_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~128_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~128_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~129_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~129 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~129 .lut_mask = 64'h0055337700553377; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~129 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y16_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~129_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[5] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y14_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [5] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [5] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [5]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2 .lut_mask = 64'h0055005533773377; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y14_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[5] .power_up = "low"; // synopsys translate_on // Location: FF_X56_Y14_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y14_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y12_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 // )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y12_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [5]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [5]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0 .lut_mask = 64'h0303030303FF03FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y14_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [5]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout )))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [5]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [5]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1 .lut_mask = 64'hC8C8C800C8C8C800; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y14_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y14_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~125 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~125_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [5])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [5])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [5]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~125_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~125 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~125 .lut_mask = 64'h1B1B1B1B0000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~125 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y14_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~125_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y14_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5] & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5] // ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [5] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [5]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3 .lut_mask = 64'hFFFF555FFFFF777F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y14_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y18_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0 .lut_mask = 64'h00005555FA00FA55; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y14_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[5] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y14_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~125_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y15_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y15_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y14_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5]~DUPLICATE_q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0 .lut_mask = 64'h0C3F0F3F55775F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y14_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y19_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_NEW_REG153 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_OTERM154 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_NEW_REG153 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_NEW_REG153 .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y19_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_NEW_REG151 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_OTERM152 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_NEW_REG151 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_NEW_REG151 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_OTERM152 & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_OTERM154 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_OTERM152 & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_OTERM154 ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_OTERM154 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]_OTERM152 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37 .lut_mask = 64'h00CC00CC33FF33FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y15_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y15_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y15_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y15_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y13_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[9] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y14_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y14_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec // [9])))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~DUPLICATE_q ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0 .lut_mask = 64'h0C3F0F3F55775F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y14_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y20_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_NEW_REG137 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM138 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_NEW_REG137 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_NEW_REG137 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM136~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM136~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM136~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM136~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM136~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM136~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y18_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_NEW_REG135 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM136~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM136 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_NEW_REG135 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_NEW_REG135 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM136 & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM138 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM136 & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM138 ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM138 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]_OTERM136 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35 .lut_mask = 64'h00CC00CC33FF33FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y14_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y14_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [9]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [9]))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [9] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [9]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90 .lut_mask = 64'h04043737C4C4F7F7; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y14_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y17_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~93 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~93_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause // [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause // [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~93_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~93 .lut_mask = 64'h0C3F0C3F00330033; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~93 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~94 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~94_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~93_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~93_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~93_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~94_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~94 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~94 .lut_mask = 64'h0033003355775577; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~94 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y17_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~94_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y13_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [9]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [9] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [9]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2 .lut_mask = 64'h000000FF333333FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y12_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 // )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0 .lut_mask = 64'h111111111F1F1F1F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y11_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1 .lut_mask = 64'hCCCCC0C088888080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y11_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8 .lut_mask = 64'hFFFF0000FFFF0000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y11_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y11_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9] & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout // & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3 .lut_mask = 64'hFFFF37FFFFFF05FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y11_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y11_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0 .lut_mask = 64'h00550055FAFA0055; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y11_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y14_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~92_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y14_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~97 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~97_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q // & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69_sumout // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~97_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~97 .lut_mask = 64'h0000AFAF5050FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~97 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y13_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0 .lut_mask = 64'h003300330F3F0F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y13_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [10] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [10] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [10]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1 .lut_mask = 64'hFAC8FAC800000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y18_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_NEW_REG131 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_OTERM132 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_NEW_REG131 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_NEW_REG131 .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y13_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y15_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y15_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] // & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0 .lut_mask = 64'h0C550F5F3F773F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y15_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y20_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_NEW_REG133 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_OTERM134 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_NEW_REG133 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_NEW_REG133 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y20_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_OTERM134 & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_OTERM132 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_OTERM134 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_OTERM132 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_OTERM132 ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]_OTERM134 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31 .lut_mask = 64'h05050505F5F5F5F5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y15_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y15_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y15_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~95 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~95_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [10])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [10]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~95_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~95 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~95 .lut_mask = 64'h335533550F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~95 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y15_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~95_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y16_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~98 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~98_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~98_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~98 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~98 .lut_mask = 64'h00003333F0F03333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~98 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~99 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~99_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~98_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~98_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~98_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~99_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~99 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~99 .lut_mask = 64'h0505050537373737; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~99 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y16_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~99_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y13_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [10] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [10]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2 .lut_mask = 64'h030303030303FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y13_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9 .lut_mask = 64'hFFFFFFFF00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y13_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y13_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10] ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10])) ) ) ) # // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout // ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3 .lut_mask = 64'hF3F7FFFFF0F5FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y13_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y17_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0 .lut_mask = 64'h00005555F0A0F5A5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y14_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y14_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y14_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~97_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~102 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~102_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73_sumout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q // ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~102_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~102 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~102 .lut_mask = 64'h00DD00DD22FF22FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~102 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y14_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y14_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y12_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~102_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y12_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 // )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y12_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [11]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [11]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1 .lut_mask = 64'h003300330F3F0F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y11_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2 .lut_mask = 64'hFCA8FCA800000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y16_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~103 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~103_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~103_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~103 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~103 .lut_mask = 64'h00F000F033333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~103 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y16_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~104 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~104_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~103_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~103_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~103_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~104_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~104 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~104 .lut_mask = 64'h000F000F555F555F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~104 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y16_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~104_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y13_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [11]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [11] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [11]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3 .lut_mask = 64'h00000F0F55555F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y12_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y12_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4 .lut_mask = 64'h030303030303FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y11_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y11_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y11_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~100 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~100_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [11]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [11])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [11]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~100_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~100 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~100 .lut_mask = 64'h1B001B001BFF1BFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~100 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y11_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~100_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[11] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y11_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout // ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~5 .lut_mask = 64'hDDFFFFFFDFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y11_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y11_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0 .lut_mask = 64'h05050505FCFC0505; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y13_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y15_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y11_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout )))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec // [11]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] // & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0 .lut_mask = 64'h1D111F1F1DFF1FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y11_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11] .power_up = "low"; // synopsys translate_on // Location: FF_X66_Y18_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]_NEW_REG129 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]_OTERM130 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]_NEW_REG129 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]_NEW_REG129 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]_OTERM128 ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]_OTERM130 ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]_OTERM128 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]_OTERM130 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28 .lut_mask = 64'h00FF00FF0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y18_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~21 .lut_mask = 64'h00F000F00FFF0FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~21 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y18_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b // [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24 .lut_mask = 64'h000000FFFFFF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y19_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1 .lut_mask = 64'h5555555500000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[10] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y18_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~28 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~28 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~28 .lut_mask = 64'h0000FFFF0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~28 .shared_arith = "off"; // synopsys translate_on // Location: M10K_X69_Y17_N0 cyclonev_ram_block \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 ( .portawe(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), .portbre(vcc), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), .ena0(vcc), .ena1(vcc), .ena2(vcc), .ena3(vcc), .clr0(gnd), .clr1(gnd), .nerror(vcc), .portadatain({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout }), .portaaddr({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout }), .portabyteenamasks(1'b1), .portbdatain(40'b0000000000000000000000000000000000000000), .portbaddr({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22], \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]}), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), .portbdataout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2|altsyncram_u2n1:auto_generated|ALTSYNCRAM"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .operation_mode = "dual_port"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_address_clear = "none"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_address_width = 5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_data_width = 40; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_first_address = 0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_last_address = 31; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 32; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_logical_ram_width = 32; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_address_clear = "none"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_address_width = 5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_data_width = 40; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_first_address = 0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_last_address = 31; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 32; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_logical_ram_width = 32; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .ram_block_type = "M20K"; // synopsys translate_on // Location: LABCELL_X75_Y19_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0 .lut_mask = 64'h00000000CCCCCCCC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~4 .lut_mask = 64'h0000CCCC3333FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~4 .shared_arith = "off"; // synopsys translate_on // Location: M10K_X69_Y18_N0 cyclonev_ram_block \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 ( .portawe(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), .portbre(vcc), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), .ena0(vcc), .ena1(vcc), .ena2(vcc), .ena3(vcc), .clr0(gnd), .clr1(gnd), .nerror(vcc), .portadatain({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout }), .portaaddr({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout }), .portabyteenamasks(1'b1), .portbdatain(40'b0000000000000000000000000000000000000000), .portbaddr({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout }), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), .portbdataout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated|ALTSYNCRAM"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .operation_mode = "dual_port"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_address_clear = "none"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_address_width = 5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_data_width = 40; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_first_address = 0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_last_address = 31; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 32; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_logical_ram_width = 32; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_address_clear = "none"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_address_width = 5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_data_width = 40; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_first_address = 0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_last_address = 31; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 32; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_logical_ram_width = 32; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .ram_block_type = "M20K"; // synopsys translate_on // Location: LABCELL_X67_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~6 .lut_mask = 64'h00003333CCCCFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y21_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0 .lut_mask = 64'h0F0F0F0F00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y21_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[7] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0 .lut_mask = 64'h3333333300000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y19_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y19_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [27]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~5 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~30 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~30_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [27]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~30 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~30 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~30 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0 .lut_mask = 64'h00000000CCCCCCCC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y19_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~29_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~29 .lut_mask = 64'h0000CCCC3333FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~29 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y19_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [27]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~1_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) + ( VCC ) + ( !VCC )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(), .sumout(), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134 .lut_mask = 64'h0000000000005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y19_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1 .lut_mask = 64'h0000A695000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5 .lut_mask = 64'h0000A695000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y19_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9 .lut_mask = 64'h0000A695000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13 .lut_mask = 64'h0000A695000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y19_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~29_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~29_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~29_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121 .lut_mask = 64'h0000A695000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~30_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~30_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~30_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125 .lut_mask = 64'h0000A695000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [6]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~5_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [6]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~5_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~5_combout ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21 .lut_mask = 64'h0000FF000000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~6_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~6_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~6_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25 .lut_mask = 64'h0000A695000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y19_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [8]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~4_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [8]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~4_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~4_combout ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17 .lut_mask = 64'h0000FF000000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [9]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~28_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [9]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~28_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~28_combout ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117 .lut_mask = 64'h0000FF000000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101 .lut_mask = 64'h0000A695000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [11]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~21_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [11]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~21_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~21_combout ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89 .lut_mask = 64'h0000FF000000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y18_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|Equal0~5 ( // Equation(s): // \neorv32_top_inst|Equal0~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|Equal0~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|Equal0~5 .extended_lut = "off"; defparam \neorv32_top_inst|Equal0~5 .lut_mask = 64'h1111111100000F0F; defparam \neorv32_top_inst|Equal0~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y16_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[30] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y16_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y16_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136 .lut_mask = 64'h555A555AAA5AAA5A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y16_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_NEW_REG37 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM38 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_NEW_REG37 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_NEW_REG37 .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y13_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [13]) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [13]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1 .lut_mask = 64'h0055005500550055; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y12_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y12_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y12_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~159 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~159_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [29])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [29])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [29]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~159_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~159 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~159 .lut_mask = 64'h1D1D00001D1DFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~159 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y12_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~159_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[29] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y13_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y13_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [29]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0 .lut_mask = 64'h000000000000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux1~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux1~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux1~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux1~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux1~0 .lut_mask = 64'h00FF00FF33333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux1~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux1~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y16_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~16 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [27]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~16 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~16 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~16 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y19_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux2~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux2~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux2~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux2~0 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux2~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux2~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y21_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux3~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux3~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux3~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux3~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux3~0 .lut_mask = 64'h0F0F0F0F55555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux3~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y21_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux3~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y14_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y14_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y15_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y13_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [10]) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [10]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1 .lut_mask = 64'h0055005500550055; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux4~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux4~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux4~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux4~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux4~0 .lut_mask = 64'h00CC00CC33FF33FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux4~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux4~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y19_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux5~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux5~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux5~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux5~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux5~0 .lut_mask = 64'h0A0A0A0A5F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux5~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux5~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~14 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~14 .lut_mask = 64'h3300330033FF33FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~14 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux6~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux6~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux6~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux6~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux6~0 .lut_mask = 64'h3333333300FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux6~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux6~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~15 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] // & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~15 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~15 .lut_mask = 64'h00000F0FFFFF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~15 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y19_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux7~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux7~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux7~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux7~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux7~0 .lut_mask = 64'h333333330F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux7~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux7~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux8~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux8~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux8~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux8~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux8~0 .lut_mask = 64'h00CC00CC33FF33FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux8~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux8~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y19_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux9~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux9~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux9~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux9~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux9~0 .lut_mask = 64'h00FF00FF0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux9~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux9~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux10~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux10~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux10~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux10~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux10~0 .lut_mask = 64'h333333330F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux10~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux10~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7 .lut_mask = 64'h00003333CCCCFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y14_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux11~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux11~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux11~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux11~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux11~0 .lut_mask = 64'h00000303FFFFF3F3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux11~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y14_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux11~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y14_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux12~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux12~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux12~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux12~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux12~0 .lut_mask = 64'h00EE11FF00EE11FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux12~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y14_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux12~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y14_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux14~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux14~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] // & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux14~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux14~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux14~0 .lut_mask = 64'h00FF00CC00FF33FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux14~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y14_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux14~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23 .lut_mask = 64'h505050505F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y14_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux17~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux17~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux17~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux17~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux17~0 .lut_mask = 64'h0000000FFFFFF0FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux17~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y14_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux17~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y18_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~22 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~22 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~22 .lut_mask = 64'h505050505F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~22 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y14_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~1 .lut_mask = 64'h00EE11FF00EE11FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y14_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux18~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~22_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~22_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~22_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93 .lut_mask = 64'h0000A695000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~27_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~27_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~27_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113 .lut_mask = 64'h0000A695000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y18_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97 .lut_mask = 64'h0000A695000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [15]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~26_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [15]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~26_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~26_combout ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109 .lut_mask = 64'h0000FF000000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y18_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_NEW_REG107 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_OTERM108 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_NEW_REG107 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_NEW_REG107 .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y18_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y17_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18 .lut_mask = 64'h00A000A00FAF0FAF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19 .lut_mask = 64'h0505050537373737; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y17_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y13_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [15] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [15]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2 .lut_mask = 64'h005500550055FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y13_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y13_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [15]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [15])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [15]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14 .lut_mask = 64'h028A028A57DF57DF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y13_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y12_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y12_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~111 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~111_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ))) // ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~111_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~111 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~111 .lut_mask = 64'h003F003FC0FFC0FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~111 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y14_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y12_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~111_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y12_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~116 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~116_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14]))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14])))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~116_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~116 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~116 .lut_mask = 64'h087F087F087F087F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~116 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y14_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y12_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~116_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y16_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16 .lut_mask = 64'h00005F5FA0A0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y16_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[15] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y16_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y14_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y12_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~105 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~105_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~105_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~105 .lut_mask = 64'h0000FF0F00F0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~105 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y13_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y13_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y12_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~105_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~112 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~112_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~112_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~112 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~112 .lut_mask = 64'h0000FF3300CCFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~112 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y12_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~112_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~117 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~117_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85_sumout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q // ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~117_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~117 .lut_mask = 64'h00F500F50AFF0AFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~117 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y12_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~117_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5_sumout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5_sumout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5_sumout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17 .lut_mask = 64'h0303F3F30000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y12_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X53_Y12_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0 .lut_mask = 64'h00000F0F33333F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y13_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1 .lut_mask = 64'hFFF0AAA000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y13_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y13_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [15] & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch // [15] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [15] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc // [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [15] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [15]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3 .lut_mask = 64'hFFFF555FFFFF777F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y13_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata // [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15])) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0 .lut_mask = 64'h11111111F1F1A1A1; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y13_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y15_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y15_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y15_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y15_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y14_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0 .lut_mask = 64'h0C550F5F3F773F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y14_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15] .power_up = "low"; // synopsys translate_on // Location: FF_X66_Y18_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_NEW_REG109 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_OTERM110 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_NEW_REG109 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_NEW_REG109 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y18_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_OTERM110 & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_OTERM108 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_OTERM110 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_OTERM108 ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_OTERM108 ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]_OTERM110 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33 .lut_mask = 64'h05050505AFAFAFAF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~26 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~26_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b // [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~26 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~26 .lut_mask = 64'h000000FFFFFF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~26 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y18_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~25_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~25_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~25_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105 .lut_mask = 64'h0000A695000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y18_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_NEW_REG103 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_OTERM104 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_NEW_REG103 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_NEW_REG103 .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y14_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y14_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [16] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [16]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y13_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[0] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [0] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y16_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y16_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y16_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [16])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [16]))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [16]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20 .lut_mask = 64'h5300530053FF53FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y16_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[16] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y18_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause // [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause // [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16])) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23 .lut_mask = 64'h03CF03CF03030303; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y17_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24 .lut_mask = 64'h0033003355775577; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y17_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y13_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [16] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [16] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [16] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [16]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4 .lut_mask = 64'h050505050505FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y12_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y11_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9_sumout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ))) // ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21 .lut_mask = 64'h0077007788FF88FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y14_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y14_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y11_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y14_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y12_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9_sumout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9_sumout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22 .lut_mask = 64'h00CF00CF30FF30FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y12_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2 .lut_mask = 64'h0303030303FF03FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y14_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [16]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [16]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [16]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3 .lut_mask = 64'hFFCCAA8800000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y14_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout // ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc // [16] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~5 .lut_mask = 64'hFFFFFFFF777FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y14_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y14_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0 .lut_mask = 64'h000F000FAA8DAA8D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y13_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y15_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y16_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y16_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout )))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec // [16]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~DUPLICATE_q ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0 .lut_mask = 64'h1D111F1F1DFF1FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y16_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y20_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_NEW_REG105 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_OTERM106 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_NEW_REG105 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_NEW_REG105 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_OTERM106 & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_OTERM104 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_OTERM106 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_OTERM104 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_OTERM104 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]_OTERM106 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32 .lut_mask = 64'h000F000FFF0FFF0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~25_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [27]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~25 .lut_mask = 64'h000F000FF0FFF0FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~25 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~9_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~9_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~9_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37 .lut_mask = 64'h0000A695000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y18_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_NEW_REG99 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_OTERM100 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_NEW_REG99 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_NEW_REG99 .power_up = "low"; // synopsys translate_on // Location: FF_X66_Y18_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y15_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17] & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28 .lut_mask = 64'h5000500050FF50FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y15_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29 .lut_mask = 64'h003300330F3F0F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y15_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y13_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [17]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [17] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [17]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4 .lut_mask = 64'h00000F0F55555F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y13_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y13_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [17]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0 .lut_mask = 64'h000000000000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y13_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [1] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y13_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y13_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y13_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y13_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13_sumout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ))) // ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26 .lut_mask = 64'h005F005FA0FFA0FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y13_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y14_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13_sumout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q // ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27 .lut_mask = 64'h00F500F50AFF0AFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y12_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y12_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2 .lut_mask = 64'h000F000F000FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y13_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17]~DUPLICATE_q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3 .lut_mask = 64'hFFF0CCC000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y13_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y13_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [17]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [17])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [17]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25 .lut_mask = 64'h028A028A57DF57DF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y13_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y13_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17] & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout // )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc // [17] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17] & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4_combout // ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~5 .lut_mask = 64'hFFFF7F7FFFFF7FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y13_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[17] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata // [17]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0 .lut_mask = 64'h00000F0FFF00AA0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y13_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y15_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y15_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout )))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec // [17]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0 .lut_mask = 64'h1D111F1F1DFF1FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y15_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17] .power_up = "low"; // synopsys translate_on // Location: FF_X66_Y17_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_NEW_REG101 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_OTERM102 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_NEW_REG101 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_NEW_REG101 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y17_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_OTERM102 & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_OTERM100 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_OTERM102 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_OTERM100 ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_OTERM100 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]_OTERM102 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15 .lut_mask = 64'h000F000FF0FFF0FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] // & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~9 .lut_mask = 64'h0000FFFF0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~9 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y18_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33 .lut_mask = 64'h0000A695000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y18_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_NEW_REG95 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_OTERM96 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_NEW_REG95 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_NEW_REG95 .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y13_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y13_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [18] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [18]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y13_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[2] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y18_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y15_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33 .lut_mask = 64'h05050505AFAF0505; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y15_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34 .lut_mask = 64'h030303FF030303FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y15_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y13_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [18] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [18]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4 .lut_mask = 64'h000F000F000FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y12_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y12_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17_sumout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ))) // ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31 .lut_mask = 64'h0077007788FF88FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y13_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[18] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y12_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[18] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y14_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y12_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 // )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y12_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17_sumout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17_sumout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32 .lut_mask = 64'h00AF00AF50FF50FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y12_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y12_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2 .lut_mask = 64'h111111111F1F1F1F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y12_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3 .lut_mask = 64'hFF00AA00F000A000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y13_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y13_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30 .lut_mask = 64'h0055AAFF0A5F0A5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y13_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y13_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18] & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18] // ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~5 .lut_mask = 64'hFFFF3FFFFFFF7FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y13_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[18] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0 .lut_mask = 64'h0000E0E03333E3E3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y13_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y15_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y13_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout )))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec // [18]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0 .lut_mask = 64'h1B111F1F1BFF1FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y13_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y18_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_NEW_REG97 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_OTERM98 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_NEW_REG97 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_NEW_REG97 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_OTERM98 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_OTERM96 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_OTERM98 ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_OTERM98 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_OTERM96 ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_OTERM96 ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]_OTERM98 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14 .lut_mask = 64'h00000F0FFFFF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y18_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8 .lut_mask = 64'h00AA00AA55FF55FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29 .lut_mask = 64'h0000C693000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y17_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~12_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~12_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~12_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49 .lut_mask = 64'h0000C693000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~11_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~11_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~11_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45 .lut_mask = 64'h0000C693000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y17_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~10_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~10_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~10_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41 .lut_mask = 64'h0000C693000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y17_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_NEW_REG79 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_OTERM80 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_NEW_REG79 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_NEW_REG79 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y13_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0 .lut_mask = 64'h11FA11FA11111111; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y14_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y14_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y13_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y13_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y11_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0 .lut_mask = 64'h05050505EEEE0505; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y11_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y11_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y13_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y13_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y15_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y13_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y15_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21_sumout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36 .lut_mask = 64'h00005F5FA0A0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y15_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y15_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y11_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y13_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25_sumout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle // [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25_sumout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25_sumout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41 .lut_mask = 64'h08087F7F08087F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y13_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y11_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y11_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29_sumout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ))) // ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46 .lut_mask = 64'h0077007788FF88FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y11_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y11_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y11_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22]))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22])))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51 .lut_mask = 64'h087F087F087F087F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y11_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y13_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37 .lut_mask = 64'h0000FF5500AAFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y15_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y13_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y11_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y13_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42 .lut_mask = 64'h0000F5F50A0AFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y13_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y11_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y11_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29_sumout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29_sumout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29_sumout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47 .lut_mask = 64'h0505AFAF0000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y11_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y11_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y14_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52 .lut_mask = 64'h00AF00AF50FF50FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y14_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y11_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y11_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y11_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y11_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 // )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y11_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y11_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 // )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y11_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y11_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2 .lut_mask = 64'h000F000F333F333F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y11_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3 .lut_mask = 64'hFCFC0000FC000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y13_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y11_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [6] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [6]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y11_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y11_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y11_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [22])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [22]))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50 .lut_mask = 64'h440C773F440C773F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y11_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y11_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y11_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [22] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [22]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y17_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y16_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53 .lut_mask = 64'h0A0A0A0A00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54 .lut_mask = 64'h003300330F3F0F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y16_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[22] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y14_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [22] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [22] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [22] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4 .lut_mask = 64'h111111111111FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y11_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout // & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ) # // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout )) ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout // )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~5 .lut_mask = 64'hBBFFBFFFFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y11_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[22] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y15_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0 .lut_mask = 64'h05050505FFF00505; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y14_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[22] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y17_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_NEW_REG83 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_OTERM84 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_NEW_REG83 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_NEW_REG83 .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y17_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_NEW_REG87 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_OTERM88 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_NEW_REG87 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_NEW_REG87 .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y15_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[20] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y15_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y15_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [20]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [20])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40 .lut_mask = 64'h028A028A57DF57DF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y15_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y15_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y15_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y15_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y15_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] // & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0 .lut_mask = 64'h0C550F5F3F773F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y15_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X66_Y17_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_NEW_REG89 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_OTERM90 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_NEW_REG89 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_NEW_REG89 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_OTERM90 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_OTERM88 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_OTERM90 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_OTERM88 ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_OTERM90 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_OTERM88 ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]_OTERM90 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18 .lut_mask = 64'h0000FFFF0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y14_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y14_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y14_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y14_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q // & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [21]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [21]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45 .lut_mask = 64'h025702578ADF8ADF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y14_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[21] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y14_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y14_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec // [21])))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21]) ) // ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21]), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0 .lut_mask = 64'h0C550F5F3F773F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y14_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y17_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_NEW_REG85 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_OTERM86 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_NEW_REG85 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_NEW_REG85 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_OTERM86 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_OTERM84 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_OTERM86 ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_OTERM86 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_OTERM84 ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_OTERM84 ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]_OTERM86 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17 .lut_mask = 64'h00005555FFFF5555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y14_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y14_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0 .lut_mask = 64'h0A330F3F5F775F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y14_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[22] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y17_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_NEW_REG81 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_OTERM82 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_NEW_REG81 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_NEW_REG81 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y17_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_OTERM82 & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_OTERM80 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_OTERM82 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_OTERM80 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_OTERM80 ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]_OTERM82 ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16 .lut_mask = 64'h0055FF550055FF55; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~10 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~10 .lut_mask = 64'h0000CCCC3333FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~10 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~15_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~15_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~15_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61 .lut_mask = 64'h0000C693000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y17_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~14_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~14_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~14_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57 .lut_mask = 64'h0000C693000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~13_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~13_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~13_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53 .lut_mask = 64'h0000C693000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y17_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~17_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~17_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~17_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69 .lut_mask = 64'h0000C693000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y17_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y15_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73 .lut_mask = 64'h00CC00CC0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y15_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74 .lut_mask = 64'h005500550F5F0F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y15_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[26] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y14_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[26] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y14_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [26]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [26]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [26]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4 .lut_mask = 64'h003300330033FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y13_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y11_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y11_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y17_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y16_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57 .lut_mask = 64'h550055000F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y16_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58 .lut_mask = 64'h003300330F3F0F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y16_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y13_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [23])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [23] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [23]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3 .lut_mask = 64'h0000000000010301; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y11_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y11_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56 .lut_mask = 64'h000055FFAA00FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y11_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[23] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y13_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y12_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout // & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE_q ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE_q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1 .lut_mask = 64'h000055550F0F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y12_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y11_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y11_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y11_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y12_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q // & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55 .lut_mask = 64'h0000AFAF5050FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y12_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y12_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0 .lut_mask = 64'h0303030357575757; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y12_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout )) ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2 .lut_mask = 64'hAA00A00000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y11_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~3 .lut_mask = 64'hFFFFFFFF00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y11_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~3_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y11_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [23] & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [7] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout ) ) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [23] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout // & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [23] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [7] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [23] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [7]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4 .lut_mask = 64'hFFFF5F7FFFFF0F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y11_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[23] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y15_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0 .lut_mask = 64'h03030303FFF00303; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y14_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y14_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y13_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y13_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y12_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y12_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y13_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y13_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y13_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y13_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y14_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[26] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y13_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y11_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y11_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61 .lut_mask = 64'h00005F5FA0A0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y11_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y11_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y11_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25]))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25])))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66 .lut_mask = 64'h087F087F087F087F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y11_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y11_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y14_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71 .lut_mask = 64'h00000FFFF000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y14_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[26] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y11_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y11_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y11_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y11_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y11_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y11_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y11_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y12_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE_q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2 .lut_mask = 64'h000F000F333F333F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y13_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3 .lut_mask = 64'hFCA8FCA800000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y14_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y14_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [26]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0 .lut_mask = 64'h00000F0F00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y14_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout // & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26]) ) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~5 .lut_mask = 64'hFF3FFF7FFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y14_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y14_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26]) // ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26]) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0 .lut_mask = 64'h0505EEEE05050505; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y14_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [26])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70 .lut_mask = 64'h028A028A57DF57DF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y14_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y14_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26])))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout ))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26]), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0 .lut_mask = 64'h1B111F1F1BFF1FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y14_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[26] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y16_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]_NEW_REG65 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]_OTERM66 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]_NEW_REG65 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]_NEW_REG65 .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y17_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]_NEW_REG63 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]_OTERM64 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]_NEW_REG63 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]_NEW_REG63 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y16_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]_OTERM64 ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]_OTERM66 ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]_OTERM66 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]_OTERM64 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y15_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] // & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~17 .lut_mask = 64'h00000F0FFFFF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~17 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [27]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~19_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [27]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~19_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~19_combout ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77 .lut_mask = 64'h0000FF000000396C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y17_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_NEW_REG59 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_OTERM60 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_NEW_REG59 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_NEW_REG59 .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y15_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[27] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y15_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y15_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [27])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [27]))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75 .lut_mask = 64'h4700470047FF47FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y15_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y14_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y15_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout )))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec // [27]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27] // & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0 .lut_mask = 64'h1D111F1F1DFF1FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y15_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[27] .power_up = "low"; // synopsys translate_on // Location: FF_X66_Y17_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_NEW_REG61 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_OTERM62 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_NEW_REG61 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_NEW_REG61 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y15_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_OTERM62 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_OTERM60 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_OTERM62 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_OTERM60 ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_OTERM62 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_OTERM60 ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]_OTERM62 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25 .lut_mask = 64'h0000FFFF0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y15_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~19 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [27]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~19 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~19 .lut_mask = 64'h000F000FFF0FFF0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~19 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y17_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~18_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~18_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~18_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73 .lut_mask = 64'h0000C693000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y16_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [29]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~16_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [29]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~16_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~16_combout ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65 .lut_mask = 64'h0000FF000000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y16_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y15_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~162 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~162_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29])) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~162_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~162 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~162 .lut_mask = 64'h03030303CF03CF03; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~162 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y15_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~163 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~163_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~162_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~162_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~162_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~163_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~163 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~163 .lut_mask = 64'h0505050505FF05FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~163 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y15_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~163_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y13_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [29]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [29] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [29]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4 .lut_mask = 64'h00000F0F00FF0FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y13_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[29] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y13_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y13_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y14_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[27] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y13_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y13_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y15_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y15_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y15_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [28])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80 .lut_mask = 64'h05350535F535F535; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y15_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[28] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y13_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~6_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~6 .lut_mask = 64'hFFFFFFFF00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y13_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~6_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y13_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [28] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [28]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0 .lut_mask = 64'h00000000AAAAAAAA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y13_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[12] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [12]) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [12]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1 .lut_mask = 64'h0055005500550055; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y17_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y15_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~83 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~83_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~83_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~83 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~83 .lut_mask = 64'h0F000F0055555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~83 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y15_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~84 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~84_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~83_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~83_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~83_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~84_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~84 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~84 .lut_mask = 64'h0303030303FF03FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~84 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y15_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~84_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[28] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y14_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[28] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y14_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [28]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4 .lut_mask = 64'h0303030303FF03FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y12_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y11_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y12_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53_sumout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ))) // ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76 .lut_mask = 64'h003F003FC0FFC0FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y12_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y11_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y12_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81 .lut_mask = 64'h00005F5FA0A0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y12_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y11_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y11_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y11_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y11_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 // )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y11_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y11_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2 .lut_mask = 64'h000F000F333F333F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y13_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28])))) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3 .lut_mask = 64'hFC00FC00A800A800; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~5 .lut_mask = 64'hFFFFFFFF1FFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y13_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[28] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0 .lut_mask = 64'h5572557200330033; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y13_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[28] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y13_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y13_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y13_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y11_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y11_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~160 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~160_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~160_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~160 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~160 .lut_mask = 64'h000055FFAA00FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~160 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y11_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~160_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y11_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y11_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y11_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y11_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29]~DUPLICATE_q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29]~DUPLICATE_q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2 .lut_mask = 64'h00000F0F33333F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y11_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29]))) // ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) // # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3 .lut_mask = 64'hFCFCA8A800000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y13_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout // )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~5 .lut_mask = 64'hFFFFFFFF5FFF7FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X70_Y13_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata // [29])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0 .lut_mask = 64'h11111111F1A1F1A1; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y13_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y14_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y14_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y14_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y14_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113_sumout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113_sumout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29]~DUPLICATE_q ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0 .lut_mask = 64'h0A330F3F5F775F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y14_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y20_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_NEW_REG53 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_OTERM54 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_NEW_REG53 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_NEW_REG53 .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y16_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_NEW_REG51 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_OTERM52 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_NEW_REG51 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_NEW_REG51 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_OTERM52 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_OTERM52 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_OTERM54 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_OTERM52 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_OTERM54 ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_OTERM54 ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]_OTERM52 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22 .lut_mask = 64'h0F0F0F0F0000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y14_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~118 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y14_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~118 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~118 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121_sumout ), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y12_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y12_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y11_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y11_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [15] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [15]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y14_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~174 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~174_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~174_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~174 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~174 .lut_mask = 64'h4444444477777777; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~174 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y14_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~174_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~172 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~172_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31])) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~172_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~172 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~172 .lut_mask = 64'h03030303F303F303; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~172 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y16_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~173 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~173_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~172_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~172_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~172_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~173_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~173 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~173 .lut_mask = 64'h000F000F555F555F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~173 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y16_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~173_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[31] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y14_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y14_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [5] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [31]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [5] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [31]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [31]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [31]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [5]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [31]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4 .lut_mask = 64'hFFCCF0C0AA88A080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y11_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y11_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [31] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [31]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y11_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y11_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~165 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~165_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121_sumout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~165_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~165 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~165 .lut_mask = 64'h00005F5FA0A0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~165 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y15_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~166 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~166_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]))) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~166_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~166 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~166 .lut_mask = 64'h00330033C0F3C0F3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~166 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y15_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~167 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~167_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~166_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~166_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~166_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~167_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~167 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~167 .lut_mask = 64'h005500550F5F0F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~167 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y15_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~167_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y13_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [30]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3 .lut_mask = 64'h0000000000040007; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y11_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y10_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y10_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y11_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~122 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~122 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y11_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y12_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0 .lut_mask = 64'h003300330F3F0F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y12_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y13_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~122 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~122 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y13_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y12_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1 .lut_mask = 64'h00000F0F33333F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y12_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout )) ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2 .lut_mask = 64'hF000C00000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y12_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [30])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [30]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4 .lut_mask = 64'hFFFFFFFF55775F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y12_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y18_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata // [30] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0 .lut_mask = 64'h0055CCD10055CCD1; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y18_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y11_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~165_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y11_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~126 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~126 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y11_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~170 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~170_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31] & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125_sumout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q // )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125_sumout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~170_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~170 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~170 .lut_mask = 64'h220077FF220077FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~170 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y11_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y11_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y11_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~170_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y11_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~122 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~122 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125_sumout ), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y11_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y11_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2 .lut_mask = 64'h0537053705370537; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y13_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y13_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~122 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [31]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~122 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125_sumout ), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y13_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y11_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout // & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout )))) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3 .lut_mask = 64'hF0A0F0A0C080C080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y11_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [31]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [31]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5 .lut_mask = 64'hFFFFFFFFFF1FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y11_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[31] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y15_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata // [31] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0 .lut_mask = 64'h0055CCD10055CCD1; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y12_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~169 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~169_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [31]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [31]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [31]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~169_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~169 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~169 .lut_mask = 64'h0A0A22225F5F7777; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~169 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y12_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~169_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y14_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31])))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121_sumout ))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121_sumout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121_sumout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [31]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121_sumout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [31]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31]), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0 .lut_mask = 64'h1D111F1F1DFF1FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y14_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[31] .power_up = "low"; // synopsys translate_on // Location: FF_X66_Y16_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_NEW_REG41 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM42 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_NEW_REG41 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_NEW_REG41 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y16_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM42 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM38 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM42 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM38 ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM42 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM38 ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM42 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26 .lut_mask = 64'h0000FFFF33333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux0~0 .lut_mask = 64'h00FF00FF55555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux0~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y19_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux0~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y16_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~20 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~20_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~20 .lut_mask = 64'h00003333CCCCFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~20 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y16_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [30]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~20_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [30]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~20_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~20_combout ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85 .lut_mask = 64'h0000FF000000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81 .lut_mask = 64'h0000F3C0000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y16_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|Equal0~4 ( // Equation(s): // \neorv32_top_inst|Equal0~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|Equal0~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|Equal0~4 .extended_lut = "off"; defparam \neorv32_top_inst|Equal0~4 .lut_mask = 64'h0000030344444747; defparam \neorv32_top_inst|Equal0~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y16_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector7~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y16_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y16_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector8~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector8~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65_sumout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28])))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector8~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector8~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector8~0 .lut_mask = 64'h02DF02DF00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector8~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y16_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector8~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y16_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 // )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y16_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector7~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector7~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout & ( ((!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector7~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector7~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector7~0 .lut_mask = 64'h00DF00DF20FF20FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector7~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y16_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector7~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~21 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~21_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29] ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~21 .lut_mask = 64'h00FF00FF0F0F0F0F; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~21 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y17_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26])) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23 .lut_mask = 64'h000E000A000E000E; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y16_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector10~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y17_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~22 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~22_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26]~DUPLICATE_q & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26]~DUPLICATE_q ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~22 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~22 .lut_mask = 64'h00000000FFFF1151; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~22 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y17_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~40 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~40_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~40_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~40 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~40 .lut_mask = 64'h000000000000EAEE; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~40 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~39 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~39_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~39_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~39 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~39 .lut_mask = 64'h00000000FFFF1511; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~39 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y17_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~34 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~34_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~34_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~34 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~34 .lut_mask = 64'h000000000000EEAE; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~34 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y17_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~33 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~33_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] // & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~33_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~33 .lut_mask = 64'h0F010F050F010F01; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~33 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|Equal0~10 ( // Equation(s): // \neorv32_top_inst|Equal0~10_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~34_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~33_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~22_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~39_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~40_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~39_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~40_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~34_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~33_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~22_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~39_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~40_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~39_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~40_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~34_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~33_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~22_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~39_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~40_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~39_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~40_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~22_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~40_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~39_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~34_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~33_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|Equal0~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|Equal0~10 .extended_lut = "off"; defparam \neorv32_top_inst|Equal0~10 .lut_mask = 64'h0000077707770777; defparam \neorv32_top_inst|Equal0~10 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|Equal0~11 ( // Equation(s): // \neorv32_top_inst|Equal0~11_combout = ( \neorv32_top_inst|Equal0~1_combout & ( \neorv32_top_inst|Equal0~10_combout & ( (\neorv32_top_inst|Equal0~5_combout & (\neorv32_top_inst|Equal0~4_combout & (\neorv32_top_inst|Equal0~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~21_combout ))) ) ) ) .dataa(!\neorv32_top_inst|Equal0~5_combout ), .datab(!\neorv32_top_inst|Equal0~4_combout ), .datac(!\neorv32_top_inst|Equal0~2_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~21_combout ), .datae(!\neorv32_top_inst|Equal0~1_combout ), .dataf(!\neorv32_top_inst|Equal0~10_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|Equal0~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|Equal0~11 .extended_lut = "off"; defparam \neorv32_top_inst|Equal0~11 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|Equal0~11 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM122 ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM122 & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM120 ))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM120 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM122 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0 .lut_mask = 64'h03330333FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_RTM0157 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_RTM0157_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_RTM0157_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_RTM0157 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_RTM0157 .lut_mask = 64'hFFFFFFFF00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_RTM0157 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y18_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]_NEW_REG155 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_RTM0157_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]_OTERM156 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]_NEW_REG155 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]_NEW_REG155 .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM120 & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM122 ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM120 & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]_OTERM156 & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM122 ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]_OTERM156 ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM122 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM120 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0 .lut_mask = 64'h44FF44FFCCFFCCFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM122 ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM122 & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM120 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM120 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]_OTERM122 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0 .lut_mask = 64'h0CCC0CCCFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|io_wren~0 ( // Equation(s): // \neorv32_top_inst|io_wren~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|io_wren~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|io_wren~0 .extended_lut = "off"; defparam \neorv32_top_inst|io_wren~0 .lut_mask = 64'h0000000003030303; defparam \neorv32_top_inst|io_wren~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|io_wren~1 ( // Equation(s): // \neorv32_top_inst|io_wren~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0_combout & ( \neorv32_top_inst|io_wren~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout & // (((\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q & \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0_combout & ( \neorv32_top_inst|io_wren~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0_combout ), .dataf(!\neorv32_top_inst|io_wren~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|io_wren~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|io_wren~1 .extended_lut = "off"; defparam \neorv32_top_inst|io_wren~1 .lut_mask = 64'h0000000000050307; defparam \neorv32_top_inst|io_wren~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~6 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~6_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~6 .lut_mask = 64'h000000000000EAFA; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y17_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8 .lut_mask = 64'h000000000000FF8A; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~3 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19] & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~3 .lut_mask = 64'h00000000FFFF002F; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~7 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~7_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~7 .lut_mask = 64'h00000000FFFF020F; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y17_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4 .lut_mask = 64'h000000000000FFC4; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18] & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18] ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5 .lut_mask = 64'h00000000FFFF1511; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|Equal0~0 ( // Equation(s): // \neorv32_top_inst|Equal0~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~7_combout // ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~3_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~7_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~6_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~7_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~6_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~3_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~7_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~6_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~3_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~7_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|Equal0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|Equal0~0 .extended_lut = "off"; defparam \neorv32_top_inst|Equal0~0 .lut_mask = 64'h01051155030F33FF; defparam \neorv32_top_inst|Equal0~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y17_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector33~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0 .lut_mask = 64'h000C000CAA0CAA0C; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y21_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & ( (\neorv32_top_inst|Equal0~9_combout & (\neorv32_top_inst|Equal0~11_combout & (\neorv32_top_inst|io_wren~1_combout & \neorv32_top_inst|Equal0~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|Equal0~9_combout ), .datab(!\neorv32_top_inst|Equal0~11_combout ), .datac(!\neorv32_top_inst|io_wren~1_combout ), .datad(!\neorv32_top_inst|Equal0~0_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y22_N55 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y22_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux31~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux31~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0] & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0])) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0]), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux31~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux31~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux31~0 .lut_mask = 64'h35353535000FF0FF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux31~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y22_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux31~0_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & // \neorv32_top_inst|io_rden~combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datab(gnd), .datac(!\neorv32_top_inst|io_rden~combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux31~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0 .lut_mask = 64'h0000000005050505; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y22_N28 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|io_wren~combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|io_wren~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y19_N44 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [0] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [0]) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [0] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [0]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [0]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0 .lut_mask = 64'h00330033CCFFCCFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datae(!\neorv32_top_inst|io_rden~combout ), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y19_N52 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y16_N14 dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|io_wren~combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|io_wren~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0 .lut_mask = 64'h0000000001010000; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y19_N7 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y19_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|wren~0 ( // Equation(s): // \neorv32_top_inst|neorv32_sysinfo_inst|wren~0_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|io_wren~combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|io_wren~combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_sysinfo_inst|wren~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|wren~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|wren~0 .lut_mask = 64'h0000000001010101; defparam \neorv32_top_inst|neorv32_sysinfo_inst|wren~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y19_N23 dffeas \neorv32_top_inst|neorv32_sysinfo_inst|err_o ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_sysinfo_inst|wren~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|err_o .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|err_o .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o~0_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o~0 .lut_mask = 64'h000000000F0F0F0F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y19_N20 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control~0 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout = ( !\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o~q & ( // !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~q ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~0 .lut_mask = 64'hF0F0000000000000; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0_combout = ( \neorv32_top_inst|ack_v~0_combout & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & (((\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q )))) # // (\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & (\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout & ((\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ) # (\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout // )))) ) ) # ( !\neorv32_top_inst|ack_v~0_combout & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & (((\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q )))) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & // (\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & (\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ), .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ), .datae(gnd), .dataf(!\neorv32_top_inst|ack_v~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0 .lut_mask = 64'h01F101F101F301F3; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y16_N37 dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y16_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q & ( (\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q ) ) // ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q & \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q ), .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0 .lut_mask = 64'h00F000F00FFF0FFF; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y16_N40 dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|err_type ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0 .lut_mask = 64'h0000000000000002; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout & ( (\neorv32_top_inst|io_rden~combout & \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|io_rden~combout ), .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0 .lut_mask = 64'h00000000000F000F; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y19_N53 dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~0 ( // Equation(s): // \neorv32_top_inst|rdata_v~0_combout = ( !\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [0] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [0] & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [0]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [0]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [0]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~0 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~0 .lut_mask = 64'hCC00CC0000000000; defparam \neorv32_top_inst|rdata_v~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y17_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37 .lut_mask = 64'h00000F0FFFFF0F0F; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y17_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y18_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y20_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y17_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[7] .power_up = "low"; // synopsys translate_on // Location: M10K_X58_Y17_N0 cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 ( .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), .ena0(vcc), .ena1(vcc), .ena2(vcc), .ena3(vcc), .clr0(gnd), .clr1(gnd), .nerror(vcc), .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5], \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]}), .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portabyteenamasks(1'b1), .portbdatain(5'b00000), .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; // synopsys translate_on // Location: LABCELL_X56_Y19_N9 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~1 ( // Equation(s): // \neorv32_top_inst|rdata_v~1_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [1] & ( (!\neorv32_top_inst|rdata_v~0_combout ) # // (((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q )) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [1] & ( (!\neorv32_top_inst|rdata_v~0_combout ) # // ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) ) ) .dataa(!\neorv32_top_inst|rdata_v~0_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout ), .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~1 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~1 .lut_mask = 64'hAAAFAAAFBBBFBBBF; defparam \neorv32_top_inst|rdata_v~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN213 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN213_BDD214 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( \neorv32_top_inst|rdata_v~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # (\neorv32_top_inst|rdata_v~6_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( \neorv32_top_inst|rdata_v~1_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # (\neorv32_top_inst|rdata_v~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( !\neorv32_top_inst|rdata_v~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # ((\neorv32_top_inst|rdata_v~6_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( !\neorv32_top_inst|rdata_v~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|rdata_v~6_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|rdata_v~6_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .dataf(!\neorv32_top_inst|rdata_v~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN213_BDD214 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN213 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN213 .lut_mask = 64'h000C888CFF3FFFBF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN213 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_combout = ( \neorv32_top_inst|rdata_v~24_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN213_BDD214 & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN215_BDD216 )) # // (\neorv32_top_inst|rdata_v~25_combout )) ) ) ) # ( !\neorv32_top_inst|rdata_v~24_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN213_BDD214 & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & \neorv32_top_inst|rdata_v~25_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN215_BDD216 ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datab(!\neorv32_top_inst|rdata_v~25_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN215_BDD216 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .datae(!\neorv32_top_inst|rdata_v~24_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_RESYN213_BDD214 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0 .lut_mask = 64'h00000000FF1FFFBF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y19_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[0] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y15_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1 .lut_mask = 64'h000EE0EE666BB6BB; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y15_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~46 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~46_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~46_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~46 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~46 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~46 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y18_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1 .lut_mask = 64'h0F0F0F0FFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y15_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~46_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y20_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~56 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~56_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~56_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~56 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~56 .lut_mask = 64'h0A0A0A0A5F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~56 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y20_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~56_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~54 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~54_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~54_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~54 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~54 .lut_mask = 64'h0A0A0A0A5F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~54 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y20_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~54_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~58 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~58_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~58_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~58 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~58 .lut_mask = 64'h05050505AFAFAFAF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~58 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y20_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~58_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [1]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [1]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [1]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2 .lut_mask = 64'h0A0A0A0A5F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y20_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y17_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0 .lut_mask = 64'h0000FFFFAAAA0000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y15_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~64_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y18_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0 .lut_mask = 64'h3333FFFFCCCC0000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y15_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~61_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X72_Y15_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53] .power_up = "low"; // synopsys translate_on // Location: FF_X72_Y16_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~37_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43] .power_up = "low"; // synopsys translate_on // Location: FF_X72_Y17_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~53_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X72_Y17_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout = CARRY(( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))))) ) + ( VCC ) + ( !VCC )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(), .sumout(), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134 .lut_mask = 64'h0000000000001444; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34]~DUPLICATE_q ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34]~DUPLICATE_q ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34]~DUPLICATE_q ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE_q ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE_q ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE_q ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45]~DUPLICATE_q ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45]~DUPLICATE_q ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45]~DUPLICATE_q ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77 .lut_mask = 64'h0000FF00000009F6; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81 .lut_mask = 64'h0000FF00000009F6; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5 .lut_mask = 64'h0000FF00000041BE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout = SUM(( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 = CARRY(( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9 .lut_mask = 64'h0000F609000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13 .lut_mask = 64'h0000FF00000009F6; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17 .lut_mask = 64'h0000FF00000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21 .lut_mask = 64'h0000FF00000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25 .lut_mask = 64'h0000FF00000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29 .lut_mask = 64'h0000FF00000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33 .lut_mask = 64'h0000FF00000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout = SUM(( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 = CARRY(( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37 .lut_mask = 64'h0000DE21000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41 .lut_mask = 64'h0000FF00000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45 .lut_mask = 64'h0000FF00000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49 .lut_mask = 64'h0000FF00000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60]~DUPLICATE_q ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60]~DUPLICATE_q ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60]~DUPLICATE_q ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53 .lut_mask = 64'h0000FF00000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61] ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57 .lut_mask = 64'h0000FF00000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117 .lut_mask = 64'h0000FF00000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout = SUM(( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE_q ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~122 = CARRY(( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE_q ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~122 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121 .lut_mask = 64'h00009AA9000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout = SUM(( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE_q ) ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] // & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~122 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~122 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout ), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125 .lut_mask = 64'h0000FAC90000000F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y15_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~64 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~64_combout = ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout )))))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~64_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~64 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~64 .lut_mask = 64'h00000301CF45CF45; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~64 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y15_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~64_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y15_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~61_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~61_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~61 .lut_mask = 64'h0C040C043F153F15; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~61 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y15_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~61_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y15_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~59 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~59_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout ))))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~59_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~59 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~59 .lut_mask = 64'h0C3F0C3F04150415; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~59 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y15_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[61] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~59_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[61] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[61] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y15_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29 .lut_mask = 64'h0C040C043F153F15; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y15_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X73_Y15_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y15_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout )))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27 .lut_mask = 64'h00310031C4F5C4F5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y15_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y15_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25 .lut_mask = 64'h0000A0F00A0FAAFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y15_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y15_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23 .lut_mask = 64'h00003030C0C0F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y15_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57] .power_up = "low"; // synopsys translate_on // Location: FF_X73_Y15_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y15_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21 .lut_mask = 64'h00000A0AA0A0AAAA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y15_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19 .lut_mask = 64'h0088008844CC44CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y15_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17 .lut_mask = 64'h080808084C4C4C4C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y15_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15 .lut_mask = 64'h0044004488CC88CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y15_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53]~DUPLICATE_q )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13 .lut_mask = 64'h00A000A050F050F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y15_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11 .lut_mask = 64'h0044004488CC88CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y15_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[51] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[51] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[51] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y15_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9 .lut_mask = 64'h00500050A0F0A0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y15_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[50] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[50] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[50] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7 .lut_mask = 64'h0044004488CC88CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y16_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[49] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[49] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[49] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5 .lut_mask = 64'h0088008844CC44CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y16_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3 .lut_mask = 64'h0044004488CC88CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y16_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[47] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[47] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[47] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~43 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~43_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~43_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~43 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~43 .lut_mask = 64'h0088008844CC44CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~43 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y16_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~43_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~41_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~41_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~41 .lut_mask = 64'h10101010B0B0B0B0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~41 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y16_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~41_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~39 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~39_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45]~DUPLICATE_q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~39_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~39 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~39 .lut_mask = 64'h0A000A005F005F00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~39 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y16_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~39_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~37_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~37_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~37 .lut_mask = 64'h0044004488CC88CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~37 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y16_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~37_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~35 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~35_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE_q )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~35_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~35 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~35 .lut_mask = 64'h0088008844CC44CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~35 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y16_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~35_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~33_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~33_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~33 .lut_mask = 64'h0044004488CC88CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~33 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y16_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~33_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y16_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE_q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31 .lut_mask = 64'h0C0C0C0C00CC00CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y16_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[40] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[40] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[40] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~51 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~51_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101_sumout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~51_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~51 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~51 .lut_mask = 64'h0022002288AA88AA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~51 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y17_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[39] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~51_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[39] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[39] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~49_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~49_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~49 .lut_mask = 64'h0022002288AA88AA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~49 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y17_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~49_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~47 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~47_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~47_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~47 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~47 .lut_mask = 64'h2200220022AA22AA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~47 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y17_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~47_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~45_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~45_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~45 .lut_mask = 64'h0A000A000AAA0AAA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~45 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y17_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[36] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~45_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[36] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[36] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~55 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~55_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~55_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~55 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~55 .lut_mask = 64'h00300030C0F0C0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~55 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y17_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~55_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~53_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~53_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~53 .lut_mask = 64'h4040404070707070; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~53 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y17_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~53_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~57_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~57_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~57 .lut_mask = 64'h0C000C003F003F00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~57 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y17_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~57_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0_combout = ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0 .lut_mask = 64'h11DD11DD00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y17_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y11_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[0] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y11_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y11_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout = ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [1] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [0] ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [0]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0 .lut_mask = 64'hCCCCCCCC00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y16_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_RESYN185 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_RESYN185_BDD186 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32]~DUPLICATE_q ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32]~DUPLICATE_q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_RESYN185_BDD186 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_RESYN185 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_RESYN185 .lut_mask = 64'h555555550F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_RESYN185 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y19_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27 .lut_mask = 64'h05050505F5F5F5F5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y19_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y19_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25 .lut_mask = 64'h4747474747474747; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y19_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5]))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24 .lut_mask = 64'h4747474747474747; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28 .lut_mask = 64'h505050505F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0] ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30 .lut_mask = 64'h333333330F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y16_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0 .lut_mask = 64'h00000000FFFF0000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5 .lut_mask = 64'h8080000000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN247 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN247_BDD248 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN247_BDD248 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN247 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN247 .lut_mask = 64'h0F0F0F0FF0F0F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN247 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN249 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN249_BDD250 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN249_BDD250 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN249 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN249 .lut_mask = 64'h7FFFFFFFFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN249 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN201 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN201_BDD202 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN201_BDD202 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN201 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN201 .lut_mask = 64'h3FFFFFFFFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN201 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN299 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN299_BDD300 = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN201_BDD202 ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN201_BDD202 & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN201_BDD202 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN299_BDD300 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN299 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN299 .lut_mask = 64'h7FFF7FFFFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN299 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y17_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN297 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN297_BDD298 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN297_BDD298 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN297 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN297 .lut_mask = 64'h7FFFFFFFFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN297 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3 .lut_mask = 64'h8000000000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN297_BDD298 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN247_BDD248 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN297_BDD298 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN247_BDD248 & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN299_BDD300 ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN249_BDD250 )))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN297_BDD298 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN247_BDD248 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN297_BDD298 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN247_BDD248 ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN247_BDD248 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN249_BDD250 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN299_BDD300 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_RESYN297_BDD298 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0 .lut_mask = 64'h3333333323333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y18_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|sign_mod~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X78_Y18_N48 cyclonev_lcell_comb \~GND ( // Equation(s): // \~GND~combout = GND .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\~GND~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \~GND .extended_lut = "off"; defparam \~GND .lut_mask = 64'h0000000000000000; defparam \~GND .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y18_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout = SUM(( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ) ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] // $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( !VCC )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 = CARRY(( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ) ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] // $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( !VCC )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1 .lut_mask = 64'h0000DE2100000033; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109 .lut_mask = 64'h0000DE2100000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81 .lut_mask = 64'h0000DE2100000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85 .lut_mask = 64'h0000DE2100000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13 .lut_mask = 64'h0000DE2100000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y20_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21 .lut_mask = 64'h0000DE2100000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25 .lut_mask = 64'h0000FFFF000009F6; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y19_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29 .lut_mask = 64'h0000FFFF000009F6; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33 .lut_mask = 64'h0000F60900000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y19_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37 .lut_mask = 64'h0000F60900000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41 .lut_mask = 64'h0000F60900000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y19_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45 .lut_mask = 64'h0000F60900000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49 .lut_mask = 64'h0000FFFF000009F6; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y19_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53 .lut_mask = 64'h0000FFFF000009F6; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57 .lut_mask = 64'h0000F60900000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y19_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117 .lut_mask = 64'h0000F60900000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121 .lut_mask = 64'h0000FFFF000021DE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y19_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout = SUM(( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout ), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125 .lut_mask = 64'h0000FFFF00002111; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y20_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start .lut_mask = 64'hFFFFFFFFF0F0F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y20_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11]~feeder_combout = // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11]~feeder .lut_mask = 64'h00FF00FF00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y20_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7]~feeder_combout = // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7]~feeder .lut_mask = 64'h00FF00FF00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3]~feeder_combout = // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y20_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~feeder_combout = // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~feeder .lut_mask = 64'h5555555555555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y18_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~feeder_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) ) + ( !VCC )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) ) + ( !VCC )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1 .lut_mask = 64'h0000FCFC000003FC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113 .lut_mask = 64'h0000FFFF000005FA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105 .lut_mask = 64'h0000FFFF000011EE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109 .lut_mask = 64'h0000FFFF000005FA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89 .lut_mask = 64'h0000FFFF000003FC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93 .lut_mask = 64'h0000FFFF000011EE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97 .lut_mask = 64'h0000FFFF00001E1E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101 .lut_mask = 64'h0000FFFF000011EE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61 .lut_mask = 64'h0000FFFF000003FC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65 .lut_mask = 64'h0000FFFF00001E1E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69 .lut_mask = 64'h0000FFFF00001E1E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73 .lut_mask = 64'h0000FFFF000011EE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77 .lut_mask = 64'h0000FC0300000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81 .lut_mask = 64'h0000FFFF000011EE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85 .lut_mask = 64'h0000FFFF00001E1E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5 .lut_mask = 64'h0000EE1100000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9 .lut_mask = 64'h0000FFFF00001E1E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13 .lut_mask = 64'h0000FFFF000011EE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17 .lut_mask = 64'h0000FFFF00001E1E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y18_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [0]))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21 .lut_mask = 64'h0000FFFF00001E1E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25 .lut_mask = 64'h0000FFFF000011EE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y17_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29 .lut_mask = 64'h0000FFFF00001E1E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33 .lut_mask = 64'h0000FFFF000005FA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y17_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37 .lut_mask = 64'h0000FFFF000011EE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41 .lut_mask = 64'h0000FFFF00001E1E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y17_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45 .lut_mask = 64'h0000EE1100000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49 .lut_mask = 64'h0000EE1100000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y17_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53_sumout = SUM(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 = CARRY(( GND ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53 .lut_mask = 64'h0000EE1100000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57 .lut_mask = 64'h0000FFFF00001E1E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y17_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117 .lut_mask = 64'h0000FFFF00001E1E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout // ))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121 .lut_mask = 64'h0000FFFF000005FA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y17_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125_sumout = SUM(( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))))) ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125_sumout ), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125 .lut_mask = 64'h0000FFFF00005105; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y17_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y17_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y17_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~60_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y17_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y17_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26]~feeder_combout = \~GND~combout .dataa(!\~GND~combout ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26]~feeder .lut_mask = 64'h5555555555555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~feeder_combout = \~GND~combout .dataa(!\~GND~combout ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~feeder .lut_mask = 64'h5555555555555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X75_Y17_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y17_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y17_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y17_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y17_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y17_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X78_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y18_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y18_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~42_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y18_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y18_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y18_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y18_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y18_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y18_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y18_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31]) ) + ( !VCC ) + ( !VCC )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31])) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(), .sumout(), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1 .lut_mask = 64'h0000F0FF00000FF0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X75_Y18_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1])) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113 .lut_mask = 64'h00000F000000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~56 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~56_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~56_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~56 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~56 .lut_mask = 64'h11DD11DD03CF03CF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~56 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y18_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~56_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2] .power_up = "low"; // synopsys translate_on // Location: FF_X74_Y18_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~56_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1])) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105 .lut_mask = 64'h000000F00000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~52 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~52_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~DUPLICATE_q )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~52_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~52 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~52 .lut_mask = 64'h1D1D1D1D0C3F0C3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~52 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y18_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~52_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3])) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109 .lut_mask = 64'h000030300000C3C3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~54 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~54_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout )))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~54_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~54 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~54 .lut_mask = 64'h11031103DDCFDDCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~54 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y18_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~54_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3] .power_up = "low"; // synopsys translate_on // Location: FF_X74_Y18_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~44_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X74_Y18_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~54_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4])) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89 .lut_mask = 64'h00000F000000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~44 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~44_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~44_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~44 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~44 .lut_mask = 64'h33553355330F330F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~44 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y18_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~44_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5])) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93 .lut_mask = 64'h000050500000A5A5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93 .shared_arith = "on"; // synopsys translate_on // Location: FF_X74_Y18_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~46_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~46 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~46_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~DUPLICATE_q )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~46_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~46 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~46 .lut_mask = 64'h0F330F330F550F55; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~46 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y18_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~46_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~DUPLICATE_q ) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~DUPLICATE_q ) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~DUPLICATE_q )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97 .lut_mask = 64'h000000F00000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~48 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~48_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~48_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~48 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~48 .lut_mask = 64'h550F550F55335533; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~48 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y18_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~48_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X74_Y18_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~48_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7])) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101 .lut_mask = 64'h00000F000000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~50 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~50_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~DUPLICATE_q )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~50_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~50 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~50 .lut_mask = 64'h33553355330F330F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~50 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y18_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~50_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7])) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61 .lut_mask = 64'h00000C0C0000C3C3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61 .shared_arith = "on"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30 .lut_mask = 64'h4477447747474747; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y18_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9])) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65 .lut_mask = 64'h00000F000000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65 .shared_arith = "on"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~32 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~32_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65_sumout )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65_sumout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~32 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~32 .lut_mask = 64'h0C3F0C3F1D1D1D1D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~32 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y18_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~32_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10])) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69 .lut_mask = 64'h00000F000000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69 .shared_arith = "on"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~34 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~34_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69_sumout )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69_sumout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~34_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~34 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~34 .lut_mask = 64'h03F303F305F505F5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~34 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y18_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~34_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10])) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73 .lut_mask = 64'h00000A0A0000A5A5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73 .shared_arith = "on"; // synopsys translate_on // Location: FF_X72_Y18_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~36_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~36 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~36_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73_sumout )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73_sumout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~36_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~36 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~36 .lut_mask = 64'h05F505F503F303F3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~36 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y18_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~36_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~DUPLICATE_q $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~DUPLICATE_q $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~DUPLICATE_q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12])) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~DUPLICATE_q ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77 .lut_mask = 64'h000033000000CC33; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77 .shared_arith = "on"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~38 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~38_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77_sumout )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77_sumout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~38_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~38 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~38 .lut_mask = 64'h303F303F35353535; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~38 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y18_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~38_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81 .lut_mask = 64'h000000AA0000AA55; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81 .shared_arith = "on"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~40 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~40_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~40_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~40 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~40 .lut_mask = 64'h2722272227772777; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~40 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y18_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~40_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14] .power_up = "low"; // synopsys translate_on // Location: FF_X72_Y18_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~40_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q ) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q ) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85 .lut_mask = 64'h00000A0A0000A5A5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85 .shared_arith = "on"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~42 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~42_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~42_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~42 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~42 .lut_mask = 64'h53535353505F505F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~42 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y18_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~42_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~5_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~6 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~7 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14])) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~5_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~6 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~7 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~5 .lut_mask = 64'h000000F00000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~5 .shared_arith = "on"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~5_sumout )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~5_sumout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2 .lut_mask = 64'h303F303F35353535; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y18_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~9_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~7 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~6 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~10 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~7 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~6 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~11 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16])) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~6 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~7 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~9_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~10 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~11 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~9 .lut_mask = 64'h00000F000000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~9 .shared_arith = "on"; // synopsys translate_on // Location: FF_X72_Y18_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~9_sumout )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~9_sumout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4 .lut_mask = 64'h03F303F305F505F5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y18_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~13_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~11 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~10 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~14 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~11 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~10 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~15 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~10 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~11 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~13_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~14 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~15 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~13 .lut_mask = 64'h000000F00000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~13 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X77_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~13_sumout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~13_sumout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~13_sumout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~13_sumout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~13_sumout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6 .lut_mask = 64'h5353535350505F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X78_Y18_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~17_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~15 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~14 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~18 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~15 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~14 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~19 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18])) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~14 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~15 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~17_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~18 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~19 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~17 .lut_mask = 64'h000030300000C3C3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~17 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y17_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~17_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~17_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~17_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8 .lut_mask = 64'h2722272227772777; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y17_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18] .power_up = "low"; // synopsys translate_on // Location: FF_X74_Y17_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y18_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y18_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~21_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~19 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~18 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~22 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~19 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~18 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~23 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18])) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~18 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~19 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~21_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~22 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~23 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~21 .lut_mask = 64'h00000A0A0000A5A5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~21 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~21_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~21_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~21_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10 .lut_mask = 64'h1B0A1B0A1B5F1B5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y17_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y17_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~25_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~DUPLICATE_q $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~23 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~22 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~26 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~DUPLICATE_q $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~23 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~22 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~27 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~DUPLICATE_q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20])) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~22 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~23 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~25_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~26 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~27 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~25 .lut_mask = 64'h00000F000000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~25 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~25_sumout )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~25_sumout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12 .lut_mask = 64'h0C3F0C3F1D1D1D1D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y17_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X74_Y17_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y17_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y17_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~29_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~27 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~26 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~30 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~27 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~26 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~31 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21])) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~26 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~27 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~29_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~30 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~31 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~29 .lut_mask = 64'h000050500000A5A5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~29 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~29_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~29_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14 .lut_mask = 64'h35353535303F303F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y17_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y17_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[22] .power_up = "low"; // synopsys translate_on // Location: FF_X74_Y17_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~33_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~31 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~30 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~34 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~31 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~30 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~35 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21])) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~30 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~31 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~33_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~34 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~35 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~33 .lut_mask = 64'h000000F00000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~33 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~DUPLICATE_q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~33_sumout )))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~DUPLICATE_q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~33_sumout ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~33_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16 .lut_mask = 64'h00270027FF27FF27; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y17_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X74_Y17_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y17_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y17_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~37_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~35 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~34 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~38 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~35 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~34 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~39 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23])) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~34 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~35 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~37_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~38 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~39 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~37 .lut_mask = 64'h000055000000AA55; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~37 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~37_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~DUPLICATE_q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~37_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18 .lut_mask = 64'h55335533550F550F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y17_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y17_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~41_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~39 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~38 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~42 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~39 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~38 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~43 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23])) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~38 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~39 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~41_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~42 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~43 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~41 .lut_mask = 64'h00000A0A0000A5A5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~41 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X75_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~41_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~41_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~41_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20 .lut_mask = 64'h1D0C1D0C1D3F1D3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y17_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y17_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y17_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~45_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~43 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~42 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~46 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~43 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~42 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~47 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25])) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~42 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~43 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~45_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~46 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~47 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~45 .lut_mask = 64'h00000F000000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~45 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X75_Y17_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~45_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24])))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~45_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~45_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22 .lut_mask = 64'h4744474447774777; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y17_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y17_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~49_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~47 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~46 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~50 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~47 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~46 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~51 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26])) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~46 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~47 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~49_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~50 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~51 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~49 .lut_mask = 64'h00000F000000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~49 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X75_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~49_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~49_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~49_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24 .lut_mask = 64'h10DC10DC13DF13DF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y17_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y17_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y17_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~53_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~51 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~50 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~54 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~51 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~50 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~55 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26])) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~50 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~51 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~53_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~54 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~55 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~53 .lut_mask = 64'h00000C0C0000C3C3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~53 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y17_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~53_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~53_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26 .lut_mask = 64'h53535353505F505F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y17_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y17_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~57_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~55 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~54 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~58 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~55 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~54 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~59 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27])) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~54 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~55 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~57_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~58 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~59 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~57 .lut_mask = 64'h000000CC0000CC33; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~57 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~57_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~57_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~57_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28 .lut_mask = 64'h1D0C1D0C1D3F1D3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y17_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y17_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y17_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~59 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~58 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~59 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~58 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 = // SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29])) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~58 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~59 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117 .lut_mask = 64'h000055000000AA55; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y17_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~58 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~58_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117_sumout )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117_sumout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~58_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~58 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~58 .lut_mask = 64'h0F550F550F330F33; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~58 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y17_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~58_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y17_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29])) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121 .lut_mask = 64'h000000F00000F00F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~60 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~60_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29])))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~60_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~60 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~60 .lut_mask = 64'h53505350535F535F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~60 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y17_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~60_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y17_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~126 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~127 = // SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30])) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~126 ), .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~127 )); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125 .lut_mask = 64'h0000222200009999; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X75_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout = SUM(( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~127 ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~126 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~126 ), .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~127 ), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1 .lut_mask = 64'h0000000000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1 .shared_arith = "on"; // synopsys translate_on // Location: LABCELL_X74_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ))) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1 .lut_mask = 64'h0C3F0C3F0C3F0C3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y18_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~57_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~57_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~57 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~57 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y20_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~57_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~53_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1])) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~53_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~53 .lut_mask = 64'h1D1D1D1D1D1D1D1D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~53 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y20_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~53_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y20_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~55 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~55_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~55_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~55 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~55 .lut_mask = 64'h303030303F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~55 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y20_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~55_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y20_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~45_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3])) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~45_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~45 .lut_mask = 64'h03F303F303F303F3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~45 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y20_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~45_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~47 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~47_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~47_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~47 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~47 .lut_mask = 64'h555555550F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~47 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y20_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~47_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~49_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5])) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~49_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~49 .lut_mask = 64'h3535353535353535; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~49 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y20_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~49_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y20_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~51 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~51_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6])) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~51_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~51 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~51 .lut_mask = 64'h03CF03CF03CF03CF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~51 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y20_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~51_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y20_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31 .lut_mask = 64'h5555555500FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y20_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~33_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8]))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~33_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~33 .lut_mask = 64'h4747474747474747; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~33 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y20_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~33_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y20_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~35 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~35_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9])) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~35_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~35 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~35 .lut_mask = 64'h1D1D1D1D1D1D1D1D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~35 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y20_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~35_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~37_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10])) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~37_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~37 .lut_mask = 64'h03CF03CF03CF03CF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~37 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y20_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~37_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~39 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~39_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~39_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~39 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~39 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~39 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y20_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~39_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y20_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~41_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~41_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~41 .lut_mask = 64'h303030303F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~41 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y20_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~41_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y20_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~43 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~43_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14] ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~43_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~43 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~43 .lut_mask = 64'h333333330F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~43 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y20_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~43_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y20_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14])) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3 .lut_mask = 64'h03CF03CF03CF03CF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y20_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y20_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y20_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]))) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7 .lut_mask = 64'h303F303F303F303F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y20_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X78_Y20_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~DUPLICATE_q ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9 .lut_mask = 64'h333333330F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y20_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y20_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11 .lut_mask = 64'h505050505F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y20_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X75_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE_q ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13 .lut_mask = 64'h333333330F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y19_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE_q )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15 .lut_mask = 64'h05AF05AF05AF05AF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y19_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y19_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22] ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17 .lut_mask = 64'h3333333300FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y19_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23] ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19 .lut_mask = 64'h0F0F0F0F33333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y19_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y19_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23 .lut_mask = 64'h2222222277777777; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y19_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y19_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25 .lut_mask = 64'h11111111BBBBBBBB; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y19_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27]~DUPLICATE_q ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27]~DUPLICATE_q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27 .lut_mask = 64'h555555550F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y19_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y19_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27]~DUPLICATE_q ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27]~DUPLICATE_q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29 .lut_mask = 64'h0F0F0F0F55555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y19_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X77_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~59 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~59_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28] ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~59_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~59 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~59 .lut_mask = 64'h0000FFFF0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~59 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y19_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~59_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X79_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~61_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~61_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~61 .lut_mask = 64'h0F0F00000F0FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~61 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y19_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~61_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X77_Y18_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~62 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~62_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~62_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~62 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~62 .lut_mask = 64'h00003333CCCCFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~62 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y19_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~62_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31] $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]))))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0 .lut_mask = 64'h1D1D1D1D1D2E1D2E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y18_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ) + ( !VCC )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ) + ( !VCC )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1 .lut_mask = 64'h0000CCCC0000396C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_RESYN185_BDD186 )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_RESYN185_BDD186 ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_RESYN185_BDD186 & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_RESYN185_BDD186 & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_RESYN185_BDD186 ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2 .lut_mask = 64'h00440F4F00770F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3 .lut_mask = 64'h0033083BC0F3C8FB; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~2 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y18_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[29]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~2_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[29]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[29]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[29]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y16_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0 .lut_mask = 64'h05050505AFAFAFAF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y16_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31 .lut_mask = 64'h00CC00CC33FF33FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y16_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[29]~DUPLICATE_q ))) ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[29]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31_combout ) // ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[29]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31_combout ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout ), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129 .lut_mask = 64'h0000FF0F000055A5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y16_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0])))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0 .lut_mask = 64'h05330F00FF330F00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN277 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN277_BDD278 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [28]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN277_BDD278 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN277 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN277 .lut_mask = 64'h0C083F2A59AE6ABF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN277 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y19_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_RESYN199 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_RESYN199_BDD200 = ( \neorv32_top_inst|rdata_v~28_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar // [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|rdata_v~4_combout ))) ) ) # ( !\neorv32_top_inst|rdata_v~28_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // (\neorv32_top_inst|rdata_v~4_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|rdata_v~4_combout ), .datae(!\neorv32_top_inst|rdata_v~28_combout ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_RESYN199_BDD200 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_RESYN199 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_RESYN199 .lut_mask = 64'h2065307520653075; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_RESYN199 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_RESYN199_BDD200 & ( \neorv32_top_inst|rdata_v~26_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # (\neorv32_top_inst|rdata_v~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_RESYN199_BDD200 & ( // \neorv32_top_inst|rdata_v~26_combout & ( (\neorv32_top_inst|rdata_v~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_RESYN199_BDD200 & ( !\neorv32_top_inst|rdata_v~26_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((\neorv32_top_inst|rdata_v~2_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_RESYN199_BDD200 & ( !\neorv32_top_inst|rdata_v~26_combout & ( (\neorv32_top_inst|rdata_v~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datac(!\neorv32_top_inst|rdata_v~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_RESYN199_BDD200 ), .dataf(!\neorv32_top_inst|rdata_v~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0 .lut_mask = 64'h0C0F330F0C0FFF0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y19_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X70_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279_BDD280 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o // [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q // & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279_BDD280 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279 .lut_mask = 64'h89CD0145ABEF2367; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y18_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout = SUM(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 = CARRY(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113 .lut_mask = 64'h0000C69300000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y20_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~58_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_RESYN197 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_RESYN197_BDD198 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_RESYN197_BDD198 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_RESYN197 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_RESYN197 .lut_mask = 64'h0505053705050505; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_RESYN197 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_RESYN197_BDD198 ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_RESYN197_BDD198 ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_RESYN197_BDD198 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_RESYN197_BDD198 & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_RESYN197_BDD198 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1 .lut_mask = 64'h0008005DFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN281 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN281_BDD282 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279_BDD280 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN277_BDD278 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279_BDD280 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN277_BDD278 ))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279_BDD280 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN277_BDD278 )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279_BDD280 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN277_BDD278 ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN277_BDD278 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279_BDD280 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux30~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN281_BDD282 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN281 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN281 .lut_mask = 64'h80C4B3F780C4BBFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN281 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279_BDD280 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN281_BDD282 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN283_BDD284 ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279_BDD280 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN283_BDD284 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN281_BDD282 ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279_BDD280 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout // & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN281_BDD282 & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN283_BDD284 ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279_BDD280 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN283_BDD284 & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN281_BDD282 )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN283_BDD284 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN281_BDD282 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_RESYN279_BDD280 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0 .lut_mask = 64'h000C337F00CC33FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y17_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_NEW_REG75 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_OTERM76 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_NEW_REG75 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_NEW_REG75 .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y13_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y17_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_NEW_REG77 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_OTERM78 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_NEW_REG77 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_NEW_REG77 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_OTERM78 & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_OTERM76 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_OTERM78 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_OTERM76 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_OTERM76 ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]_OTERM78 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21 .lut_mask = 64'h05050505F5F5F5F5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y14_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y14_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y11_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout )))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec // [24]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24] // & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [24]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [24]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0 .lut_mask = 64'h1D111F1F1DFF1FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y11_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[24] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y17_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_NEW_REG73 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_OTERM74 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_NEW_REG73 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_NEW_REG73 .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y17_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_NEW_REG71 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_OTERM72 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_NEW_REG71 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_NEW_REG71 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y17_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_OTERM72 & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_OTERM74 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_OTERM72 & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_OTERM74 ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_OTERM74 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]_OTERM72 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20 .lut_mask = 64'h00AA00AA55FF55FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y14_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25])))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout )))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0 .lut_mask = 64'h083B0F3F5D7F5F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y14_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y15_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM70~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM70~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM70~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM70~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM70~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM70~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y15_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_NEW_REG69 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM70~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM70 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_NEW_REG69 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_NEW_REG69 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM68~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM68~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM68~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM68~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM68~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM68~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y17_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_NEW_REG67 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM68~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM68 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_NEW_REG67 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_NEW_REG67 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y15_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM68 ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM70 ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM70 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]_OTERM68 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y17_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~13 .lut_mask = 64'h00000F0FF0F0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~13 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y17_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~16 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~16_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~16 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~16 .lut_mask = 64'h000000000000FABA; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~16 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y17_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~20 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~20_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~20 .lut_mask = 64'h000000000000FBAA; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~20 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y17_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y17_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~18 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~18 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~18 .lut_mask = 64'h000000000000EAEE; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~18 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y16_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector13~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y17_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~19 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~19_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE_q & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE_q ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~19 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~19 .lut_mask = 64'h00000000FFFF0455; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~19 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~17 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~17_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24] ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24])) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24]), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~17 .lut_mask = 64'h00FF000300FF0023; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~17 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25] & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25] ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15 .lut_mask = 64'h00000000FFFF0545; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|Equal0~2 ( // Equation(s): // \neorv32_top_inst|Equal0~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~17_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~19_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~20_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~17_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~18_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~19_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~20_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~17_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~16_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~19_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~20_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~17_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~16_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~18_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~19_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~20_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~16_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~20_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~18_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~19_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~17_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|Equal0~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|Equal0~2 .extended_lut = "off"; defparam \neorv32_top_inst|Equal0~2 .lut_mask = 64'h01051155030F33FF; defparam \neorv32_top_inst|Equal0~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y16_N45 cyclonev_lcell_comb \neorv32_top_inst|Equal0~6_RESYN207 ( // Equation(s): // \neorv32_top_inst|Equal0~6_RESYN207_BDD208 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc // [30]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [30]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|Equal0~6_RESYN207_BDD208 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|Equal0~6_RESYN207 .extended_lut = "off"; defparam \neorv32_top_inst|Equal0~6_RESYN207 .lut_mask = 64'h0000000000010001; defparam \neorv32_top_inst|Equal0~6_RESYN207 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|Equal0~6_RESYN205 ( // Equation(s): // \neorv32_top_inst|Equal0~6_RESYN205_BDD206 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|Equal0~6_RESYN205_BDD206 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|Equal0~6_RESYN205 .extended_lut = "off"; defparam \neorv32_top_inst|Equal0~6_RESYN205 .lut_mask = 64'h0000000000010001; defparam \neorv32_top_inst|Equal0~6_RESYN205 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y17_N45 cyclonev_lcell_comb \neorv32_top_inst|Equal0~6 ( // Equation(s): // \neorv32_top_inst|Equal0~6_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~22_combout & ( \neorv32_top_inst|Equal0~5_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // (\neorv32_top_inst|Equal0~6_RESYN207_BDD208 )) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ((\neorv32_top_inst|Equal0~6_RESYN205_BDD206 ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~22_combout & ( // \neorv32_top_inst|Equal0~5_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (\neorv32_top_inst|Equal0~6_RESYN207_BDD208 )) # // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ((\neorv32_top_inst|Equal0~6_RESYN205_BDD206 ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~23_combout ), .datac(!\neorv32_top_inst|Equal0~6_RESYN207_BDD208 ), .datad(!\neorv32_top_inst|Equal0~6_RESYN205_BDD206 ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~22_combout ), .dataf(!\neorv32_top_inst|Equal0~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|Equal0~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|Equal0~6 .extended_lut = "off"; defparam \neorv32_top_inst|Equal0~6 .lut_mask = 64'h0000000002130A5F; defparam \neorv32_top_inst|Equal0~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|io_wren ( // Equation(s): // \neorv32_top_inst|io_wren~combout = ( \neorv32_top_inst|io_wren~1_combout & ( \neorv32_top_inst|Equal0~9_combout & ( (\neorv32_top_inst|Equal0~1_combout & (\neorv32_top_inst|Equal0~2_combout & (\neorv32_top_inst|Equal0~6_combout & // \neorv32_top_inst|Equal0~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|Equal0~1_combout ), .datab(!\neorv32_top_inst|Equal0~2_combout ), .datac(!\neorv32_top_inst|Equal0~6_combout ), .datad(!\neorv32_top_inst|Equal0~0_combout ), .datae(!\neorv32_top_inst|io_wren~1_combout ), .dataf(!\neorv32_top_inst|Equal0~9_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|io_wren~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|io_wren .extended_lut = "off"; defparam \neorv32_top_inst|io_wren .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|io_wren .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y17_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & ( \neorv32_top_inst|io_wren~combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .dataf(!\neorv32_top_inst|io_wren~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0 .lut_mask = 64'h0000000000000002; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y18_N52 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[2] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y20_N44 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~52 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~52_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [2])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [2]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [2]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [2]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~52_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~52 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~52 .lut_mask = 64'h2277227722772277; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~52 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y22_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~53 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~53_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~52_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~52_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~53_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~53 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~53 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y22_N53 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~53_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X53_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1 ( // Equation(s): // \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|io_rden~combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|io_rden~combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y20_N37 dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[16] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y22_N5 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[2] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y22_N8 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y22_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux29~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux29~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux29~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux29~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux29~0 .lut_mask = 64'h5500330F55FF330F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux29~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y22_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26_combout = ( \neorv32_top_inst|io_rden~combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux29~0_combout & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux29~0_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26 .lut_mask = 64'h00000000000F000F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y22_N8 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y22_N9 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~39 ( // Equation(s): // \neorv32_top_inst|rdata_v~39_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [2] & ( (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [2] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [16]) ) ) .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [2]), .datab(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [16]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~39_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~39 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~39 .lut_mask = 64'h8888888800000000; defparam \neorv32_top_inst|rdata_v~39 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~4 .lut_mask = 64'hCC0CCC0C0CC00CC0; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~5 .lut_mask = 64'h40200114C4214040; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~2 .lut_mask = 64'h0201000800050106; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~0 .lut_mask = 64'h00420942200C5080; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~1 .lut_mask = 64'h0000C0E06048000A; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~3_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~2_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout // & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~2_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout // & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~5_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~1_combout // & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~5_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~5_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~2_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~3 .lut_mask = 64'h550055FF0F330F33; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~4_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~3_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~4_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~3_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~4_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~4 .lut_mask = 64'h005500550F5F0F5F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X52_Y20_N52 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux29~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[2] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y21_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y17_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4] .power_up = "low"; // synopsys translate_on // Location: M10K_X58_Y18_N0 cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 ( .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), .ena0(vcc), .ena1(vcc), .ena2(vcc), .ena3(vcc), .clr0(gnd), .clr1(gnd), .nerror(vcc), .portadatain({gnd,gnd,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]}), .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portabyteenamasks(1'b1), .portbdatain(5'b00000), .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; // synopsys translate_on // Location: LABCELL_X56_Y20_N33 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~40 ( // Equation(s): // \neorv32_top_inst|rdata_v~40_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout & ( (!\neorv32_top_inst|rdata_v~39_combout ) # // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [2] & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout & ( (!\neorv32_top_inst|rdata_v~39_combout ) # ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd // [2] & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) ) ) .dataa(!\neorv32_top_inst|rdata_v~39_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [2]), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~40_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~40 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~40 .lut_mask = 64'hABABABFFABABABFF; defparam \neorv32_top_inst|rdata_v~40 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN235 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN235_BDD236 = ( \neorv32_top_inst|rdata_v~40_combout & ( \neorv32_top_inst|rdata_v~41_combout ) ) # ( !\neorv32_top_inst|rdata_v~40_combout & ( \neorv32_top_inst|rdata_v~41_combout & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]))) ) ) ) # ( \neorv32_top_inst|rdata_v~40_combout & ( !\neorv32_top_inst|rdata_v~41_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) // # ( !\neorv32_top_inst|rdata_v~40_combout & ( !\neorv32_top_inst|rdata_v~41_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .datae(!\neorv32_top_inst|rdata_v~40_combout ), .dataf(!\neorv32_top_inst|rdata_v~41_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN235_BDD236 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN235 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN235 .lut_mask = 64'h00A0CFEF30B0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN235 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_combout = ( \neorv32_top_inst|rdata_v~19_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN235_BDD236 & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # (\neorv32_top_inst|rdata_v~20_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN237_BDD238 ))) ) ) ) # ( !\neorv32_top_inst|rdata_v~19_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN235_BDD236 & (((\neorv32_top_inst|rdata_v~20_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN237_BDD238 ))) ) ) ) # ( \neorv32_top_inst|rdata_v~19_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN235_BDD236 ) ) ) # ( !\neorv32_top_inst|rdata_v~19_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN235_BDD236 ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN237_BDD238 ), .datab(!\neorv32_top_inst|rdata_v~20_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_RESYN235_BDD236 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datae(!\neorv32_top_inst|rdata_v~19_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0 .lut_mask = 64'h0F0F0F0F05070F07; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y20_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y12_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y12_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~141 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~141_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [2])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [2]))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [2]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~141_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~141 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~141 .lut_mask = 64'h082A082A5D7F5D7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~141 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y12_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~141_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y12_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y12_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y12_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y12_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2])))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout )))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2]), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0 .lut_mask = 64'h085D0F5F3B7F3F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y12_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263_BDD264 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [2]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [2] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263_BDD264 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263 .lut_mask = 64'hF055F055330033FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN261 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN261_BDD262 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN261_BDD262 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN261 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN261 .lut_mask = 64'h1212EBEB12EB12EB; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN261 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_RESYN193 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_RESYN193_BDD194 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_RESYN193_BDD194 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_RESYN193 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_RESYN193 .lut_mask = 64'h0505053705050505; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_RESYN193 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105 .lut_mask = 64'h0000FFFF0000396C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_RESYN193_BDD194 ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_RESYN193_BDD194 ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_RESYN193_BDD194 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_RESYN193_BDD194 ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_RESYN193_BDD194 ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1 .lut_mask = 64'h5575555557775757; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN265 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN265_BDD266 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263_BDD264 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN261_BDD262 )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263_BDD264 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN261_BDD262 ))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263_BDD264 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN261_BDD262 )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263_BDD264 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN261_BDD262 ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN261_BDD262 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263_BDD264 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux29~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN265_BDD266 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN265 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN265 .lut_mask = 64'h808CB3BF808CF3FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN265 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN265_BDD266 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN267_BDD268 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263_BDD264 ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN265_BDD266 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN267_BDD268 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263_BDD264 ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN265_BDD266 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN267_BDD268 & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263_BDD264 )) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN267_BDD268 & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263_BDD264 // )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN265_BDD266 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN267_BDD268 & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263_BDD264 ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN267_BDD268 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN263_BDD264 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_RESYN265_BDD266 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0 .lut_mask = 64'h00330C7F0033CCFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y16_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]_NEW_REG47 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]_OTERM48 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]_NEW_REG47 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]_NEW_REG47 .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y16_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]_OTERM48 ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]_OTERM50 ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]_OTERM50 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]_OTERM48 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y16_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector6~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 // )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector6~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector6~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [30] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # ((\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [30]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector6~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector6~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector6~0 .lut_mask = 64'h0000BFBF4040FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector6~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y16_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector6~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y16_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout ), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector5~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector5~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector5~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector5~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector5~0 .lut_mask = 64'h0000F7F70808FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector5~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y16_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector5~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~26_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[31] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y18_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[13] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7 .lut_mask = 64'h303000003030FF00; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~9 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~9_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22] & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22] ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~9 .lut_mask = 64'h00000000FFFF0545; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~9 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~10 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~10 .lut_mask = 64'h000000000000FCF4; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~10 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~18_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~10_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~20_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~19_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~17_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~9_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~20_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~19_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~17_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~9_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~18_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~10_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2 .lut_mask = 64'h8000000000000000; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20] & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21]~DUPLICATE_q )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21]~DUPLICATE_q )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1 .lut_mask = 64'hB888B88830003000; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16] & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16] ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31 .lut_mask = 64'h00000000FFFF1151; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32 .lut_mask = 64'h000000000000EEAE; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~7_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~6_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~7_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~6_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0 .lut_mask = 64'h8000000000000000; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout & ( // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout & // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout ), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout ), .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout ), .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8 .lut_mask = 64'h0000000000000002; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y19_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20 .lut_mask = 64'h0000000000005555; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_RESYN291 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_RESYN291_BDD292 = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & ( // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_RESYN291_BDD292 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_RESYN291 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_RESYN291 .lut_mask = 64'h0000000011111111; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_RESYN291 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout & ( // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_RESYN291_BDD292 & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout ))) ) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_RESYN291_BDD292 & ( // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout ), .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_RESYN291_BDD292 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0 .lut_mask = 64'h0000000000300020; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y19_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~5_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]))) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~5 .lut_mask = 64'h0C3F0C3F0C3F0C3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X70_Y21_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~6 .lut_mask = 64'h0000F0F00F0FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X70_Y21_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19] .power_up = "low"; // synopsys translate_on // Location: M10K_X58_Y23_N0 cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 ( .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), .ena0(vcc), .ena1(vcc), .ena2(vcc), .ena3(vcc), .clr0(gnd), .clr1(gnd), .nerror(vcc), .portadatain({gnd,gnd,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]}), .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portabyteenamasks(1'b1), .portbdatain(5'b00000), .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; // synopsys translate_on // Location: FF_X61_Y20_N55 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[19] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y20_N47 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~56 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~56_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [19])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [19]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [19]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [19]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~56_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~56 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~56 .lut_mask = 64'h0A5F0A5F0A5F0A5F; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~56 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~57 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~57_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~56_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datae(!\neorv32_top_inst|io_rden~combout ), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~56_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~57_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~57 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~57 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y20_N1 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~57_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[19] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y22_N58 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y23_N29 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[19] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y23_N47 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y23_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux12~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux12~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux12~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux12~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux12~0 .lut_mask = 64'h4747474700CC33FF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux12~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y23_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & ( \neorv32_top_inst|io_rden~combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux12~0_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux12~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y23_N56 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~42 ( // Equation(s): // \neorv32_top_inst|rdata_v~42_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [19] & ( (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [19] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [19]), .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~42_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~42 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~42 .lut_mask = 64'hF000F00000000000; defparam \neorv32_top_inst|rdata_v~42 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y21_N39 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~43 ( // Equation(s): // \neorv32_top_inst|rdata_v~43_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 & ( \neorv32_top_inst|rdata_v~42_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [19])) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 & ( \neorv32_top_inst|rdata_v~42_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [19]) ) ) ) # ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 & ( !\neorv32_top_inst|rdata_v~42_combout ) ) # // ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 & ( !\neorv32_top_inst|rdata_v~42_combout ) ) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [19]), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 ), .dataf(!\neorv32_top_inst|rdata_v~42_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~43_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~43 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~43 .lut_mask = 64'hFFFFFFFF000F555F; defparam \neorv32_top_inst|rdata_v~43 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y21_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN239 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN239_BDD240 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( \neorv32_top_inst|rdata_v~48_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # // (\neorv32_top_inst|rdata_v~43_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( \neorv32_top_inst|rdata_v~48_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # (\neorv32_top_inst|rdata_v~43_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( !\neorv32_top_inst|rdata_v~48_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // \neorv32_top_inst|rdata_v~43_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( !\neorv32_top_inst|rdata_v~48_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // (\neorv32_top_inst|rdata_v~43_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|rdata_v~43_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .dataf(!\neorv32_top_inst|rdata_v~48_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN239_BDD240 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN239 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN239 .lut_mask = 64'h0500CD00AFFFEFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN239 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y21_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN239_BDD240 & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (\neorv32_top_inst|rdata_v~17_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ((\neorv32_top_inst|rdata_v~18_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN241_BDD242 ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN239_BDD240 ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN241_BDD242 ), .datac(!\neorv32_top_inst|rdata_v~17_combout ), .datad(!\neorv32_top_inst|rdata_v~18_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_RESYN239_BDD240 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0 .lut_mask = 64'h00000000FFFF3B7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y21_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~DUPLICATE_q )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~DUPLICATE_q )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [3] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [3]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [3]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271 .lut_mask = 64'hFF0F000F33553355; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN269 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN269_BDD270 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN269_BDD270 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN269 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN269 .lut_mask = 64'h0054FC543C79F379; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN269 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y17_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~55_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y18_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109 .lut_mask = 64'h0000FFFF0000396C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_RESYN195 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_RESYN195_BDD196 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3]~DUPLICATE_q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_RESYN195_BDD196 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_RESYN195 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_RESYN195 .lut_mask = 64'h000400040004FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_RESYN195 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_RESYN195_BDD196 ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_RESYN195_BDD196 ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_RESYN195_BDD196 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_RESYN195_BDD196 & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_RESYN195_BDD196 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1 .lut_mask = 64'h00270005FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN273 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN273_BDD274 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN269_BDD270 )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN269_BDD270 ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN269_BDD270 & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN269_BDD270 ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN269_BDD270 ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux28~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN273_BDD274 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN273 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN273 .lut_mask = 64'hF5330533F5333533; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN273 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN273_BDD274 & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN275_BDD276 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN273_BDD274 & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN275_BDD276 & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN275_BDD276 & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 )))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN273_BDD274 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN275_BDD276 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN273_BDD274 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN275_BDD276 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN275_BDD276 ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN271_BDD272 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_RESYN273_BDD274 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0 .lut_mask = 64'h005500550A7FAAFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3 .lut_mask = 64'h00003333CCCCFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y19_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_NEW_REG163 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_OTERM164 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_NEW_REG163 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_NEW_REG163 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y19_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_OTERM164 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_OTERM164 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_OTERM166 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_OTERM164 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_OTERM166 ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_OTERM166 ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]_OTERM164 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9 .lut_mask = 64'h0F0F0F0F0000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y15_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y15_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [4])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [4]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0 .lut_mask = 64'h0C550F5F3F773F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y15_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux12~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux12~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux12~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux12~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux12~0 .lut_mask = 64'h3355335555555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux12~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux12~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y21_N29 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y22_N37 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y21_N13 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|io_wren~combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) ) ) .dataa(!\neorv32_top_inst|io_wren~combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1 .lut_mask = 64'h0000000005000500; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y19_N26 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y22_N37 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y21_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux19~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux19~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux19~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux19~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux19~0 .lut_mask = 64'h330F0055330FFF55; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux19~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y21_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux19~0_combout & ( // \neorv32_top_inst|io_rden~combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|io_rden~combout ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux19~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22 .lut_mask = 64'h0000000000003333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y21_N31 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12] .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder .lut_mask = 64'h5555555555555555; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y20_N58 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y20_N20 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~44 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~44_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [12])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [12]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [12]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [12]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~44_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~44 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~44 .lut_mask = 64'h0A5F0A5F0A5F0A5F; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~44 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~45 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~45_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~44_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~44_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~45_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~45 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~45 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y20_N26 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~45_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y22_N15 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~33 ( // Equation(s): // \neorv32_top_inst|rdata_v~33_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [12] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [12] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [12]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [12]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~33_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~33 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~33 .lut_mask = 64'hA0A00000A0A00000; defparam \neorv32_top_inst|rdata_v~33 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y17_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~5_combout = ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~4_combout & ( // (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~1_combout ) # (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~5 .lut_mask = 64'hEEEEEEEE00000000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~0 .lut_mask = 64'h81020028800480C0; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~1 .lut_mask = 64'h2515234C9E0302A9; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~7 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~7_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~7 .lut_mask = 64'h800102C0090A8400; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~2 .lut_mask = 64'hC0443081A0105408; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~2_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~0_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~7_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~2_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) ) ) ) # // ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~7_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~0_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~7_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~3 .lut_mask = 64'h505F3030505F3F3F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y17_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~6_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~3_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ) # (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~5_combout )))) ) // ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~3_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout & // (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~5_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~5_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~6 .lut_mask = 64'h0040004000C800C8; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y17_N46 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[12] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout // & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20 .lut_mask = 64'h00000000000F000F; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_RESYN293 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_RESYN293_BDD294 = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout & ( // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_RESYN293_BDD294 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_RESYN293 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_RESYN293 .lut_mask = 64'h00000000000F000F; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_RESYN293 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout & ( // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_RESYN293_BDD294 & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout ))) ) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_RESYN293_BDD294 & ( // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout ), .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_RESYN293_BDD294 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0 .lut_mask = 64'h0000000003000200; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y22_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux16~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux16~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux16~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux16~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux16~0 .lut_mask = 64'h00003F3FC0C0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux16~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y22_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux16~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8] .power_up = "low"; // synopsys translate_on // Location: M10K_X58_Y19_N0 cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 ( .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), .ena0(vcc), .ena1(vcc), .ena2(vcc), .ena3(vcc), .clr0(gnd), .clr1(gnd), .nerror(vcc), .portadatain({gnd,gnd,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]}), .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portabyteenamasks(1'b1), .portbdatain(5'b00000), .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; // synopsys translate_on // Location: LABCELL_X57_Y22_N24 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~34 ( // Equation(s): // \neorv32_top_inst|rdata_v~34_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [12] & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 & ( // ((!\neorv32_top_inst|rdata_v~33_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [12] & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 & ( (!\neorv32_top_inst|rdata_v~33_combout ) # // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [12] & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 & ( (!\neorv32_top_inst|rdata_v~33_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [12] & ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 & ( !\neorv32_top_inst|rdata_v~33_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datab(!\neorv32_top_inst|rdata_v~33_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [12]), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~34_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~34 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~34 .lut_mask = 64'hCCCCCFCFDDDDDFDF; defparam \neorv32_top_inst|rdata_v~34 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux25~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux25~0_combout = ( \neorv32_top_inst|rdata_v~46_combout & ( \neorv32_top_inst|rdata_v~34_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (\neorv32_top_inst|rdata_v~45_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ((\neorv32_top_inst|rdata_v~35_combout )))) ) ) ) # ( // !\neorv32_top_inst|rdata_v~46_combout & ( \neorv32_top_inst|rdata_v~34_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (\neorv32_top_inst|rdata_v~45_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & // ((\neorv32_top_inst|rdata_v~35_combout ))))) ) ) ) # ( \neorv32_top_inst|rdata_v~46_combout & ( !\neorv32_top_inst|rdata_v~34_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (\neorv32_top_inst|rdata_v~45_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ((\neorv32_top_inst|rdata_v~35_combout ))))) ) ) ) # ( !\neorv32_top_inst|rdata_v~46_combout & ( !\neorv32_top_inst|rdata_v~34_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (\neorv32_top_inst|rdata_v~45_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & // ((\neorv32_top_inst|rdata_v~35_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|rdata_v~45_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datac(!\neorv32_top_inst|rdata_v~35_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .datae(!\neorv32_top_inst|rdata_v~46_combout ), .dataf(!\neorv32_top_inst|rdata_v~34_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux25~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux25~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux25~0 .lut_mask = 64'h1103DD0311CFDDCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux25~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0_combout = ( \neorv32_top_inst|rdata_v~45_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux25~0_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])))) # // (\neorv32_top_inst|rdata_v~46_combout ) ) ) ) # ( !\neorv32_top_inst|rdata_v~45_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux25~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & \neorv32_top_inst|rdata_v~46_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|rdata_v~46_combout )))) ) ) ) # ( \neorv32_top_inst|rdata_v~45_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux25~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] // & ((\neorv32_top_inst|rdata_v~46_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|rdata_v~46_combout )))) ) ) ) // # ( !\neorv32_top_inst|rdata_v~45_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux25~0_combout & ( (\neorv32_top_inst|rdata_v~46_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] // & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(!\neorv32_top_inst|rdata_v~46_combout ), .datae(!\neorv32_top_inst|rdata_v~45_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux25~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0 .lut_mask = 64'h004F105FA0EFB0FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y20_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [4] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~DUPLICATE_q ))) ) // ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~DUPLICATE_q ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~DUPLICATE_q )))) ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [4])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [4]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255 .lut_mask = 64'hCC1D001DFF1D331D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN253 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN253_BDD254 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN253_BDD254 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN253 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN253 .lut_mask = 64'h0302CF8A56AB9AEF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN253 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout = SUM(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 = CARRY(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89 .lut_mask = 64'h0000C69300000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y19_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_RESYN191 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_RESYN191_BDD192 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_RESYN191_BDD192 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_RESYN191 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_RESYN191 .lut_mask = 64'h0000003055555575; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_RESYN191 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_RESYN191_BDD192 ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_RESYN191_BDD192 ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_RESYN191_BDD192 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_RESYN191_BDD192 & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_RESYN191_BDD192 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1 .lut_mask = 64'h10001303FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN257 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN257_BDD258 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN253_BDD254 ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN253_BDD254 )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN253_BDD254 )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 )) ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN253_BDD254 )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN253_BDD254 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux27~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN257_BDD258 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN257 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN257 .lut_mask = 64'hD1DD111DD1DD515D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN257 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259_BDD260 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259_BDD260 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259 .lut_mask = 64'h0F0F0F0FFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259_BDD260 & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN257_BDD258 )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259_BDD260 & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259_BDD260 & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN257_BDD258 )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259_BDD260 & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259_BDD260 & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN257_BDD258 & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259_BDD260 & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259_BDD260 & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN257_BDD258 & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259_BDD260 & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN257_BDD258 ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN255_BDD256 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_RESYN259_BDD260 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0 .lut_mask = 64'h0353135353535353; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y15_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~50 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~50_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~50_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~50 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~50 .lut_mask = 64'h000F000FFF0FFF0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~50 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y15_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~50_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~48 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~48_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~48_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~48 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~48 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~48 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y15_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~48_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux26~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux26~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux26~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux26~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux26~0 .lut_mask = 64'h00FF00FF55555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux26~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X73_Y20_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~47_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE_q ))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE_q ))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93 .lut_mask = 64'h0000FFFF0000396C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux26~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux26~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux26~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux26~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux26~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux26~0_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux26~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux26~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux26~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux26~0 .lut_mask = 64'h0030557503335777; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux26~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y21_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux24~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux24~0_combout = ( \neorv32_top_inst|rdata_v~22_combout & ( \neorv32_top_inst|rdata_v~9_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // (((\neorv32_top_inst|rdata_v~7_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])) # // (\neorv32_top_inst|rdata_v~23_combout ))) ) ) ) # ( !\neorv32_top_inst|rdata_v~22_combout & ( \neorv32_top_inst|rdata_v~9_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & \neorv32_top_inst|rdata_v~7_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])) # // (\neorv32_top_inst|rdata_v~23_combout ))) ) ) ) # ( \neorv32_top_inst|rdata_v~22_combout & ( !\neorv32_top_inst|rdata_v~9_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (((\neorv32_top_inst|rdata_v~7_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (\neorv32_top_inst|rdata_v~23_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]))) ) ) ) # // ( !\neorv32_top_inst|rdata_v~22_combout & ( !\neorv32_top_inst|rdata_v~9_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & // \neorv32_top_inst|rdata_v~7_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (\neorv32_top_inst|rdata_v~23_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]))) ) ) ) .dataa(!\neorv32_top_inst|rdata_v~23_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .datad(!\neorv32_top_inst|rdata_v~7_combout ), .datae(!\neorv32_top_inst|rdata_v~22_combout ), .dataf(!\neorv32_top_inst|rdata_v~9_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux24~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux24~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux24~0 .lut_mask = 64'h01C10DCD31F13DFD; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux24~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y21_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux24~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|rdata_v~9_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|rdata_v~7_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux24~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12])) # (\neorv32_top_inst|rdata_v~7_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux24~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|rdata_v~9_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|rdata_v~7_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux24~0_combout & ( (\neorv32_top_inst|rdata_v~7_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) .dataa(!\neorv32_top_inst|rdata_v~9_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|rdata_v~7_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux24~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0 .lut_mask = 64'h003F0437C0FFC4F7; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y21_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[5] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [5] & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [5]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0 .lut_mask = 64'hAA22AA77FF22FF77; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [5])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [5])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1 .lut_mask = 64'h05F504C437F7C939; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y19_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2 .lut_mask = 64'h0F0F3F3FFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux26~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux26~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3 .lut_mask = 64'h0300100033333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y19_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~9 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~9_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~9 .lut_mask = 64'hAA00AA00C0C0C0C0; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~9 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~4_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~9_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~9_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~4 .lut_mask = 64'h0000008000000000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~5_combout = ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~4_combout & ( // (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~1_combout ) # ((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux19~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~5 .lut_mask = 64'hFFEEFFEE00000000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~8 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~8_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~8 .lut_mask = 64'h0010200000800004; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~8 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~3 .lut_mask = 64'h740008C420800110; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~7 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~7_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~7 .lut_mask = 64'h0000210200800800; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~2 .lut_mask = 64'h0004000002000400; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~7_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~3_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~2_combout // & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~8_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~7_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~3_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~2_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~8_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~8_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~3_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~7_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~4 .lut_mask = 64'h111105AFBBBB05AF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~6_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~4_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ) # (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~5_combout )))) ) // ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~4_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout & // (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~5_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~5_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~6 .lut_mask = 64'h0040004000C800C8; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y17_N43 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[3] .power_up = "low"; // synopsys translate_on // Location: FF_X56_Y18_N49 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[3] .power_up = "low"; // synopsys translate_on // Location: FF_X56_Y18_N16 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X50_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~62 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~62_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [3] ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [3] & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [3] ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [3] & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [3] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [3]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~62_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~62 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~62 .lut_mask = 64'h0F0F00000F0FFFFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~62 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y20_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~63 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~63_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~62_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|io_rden~combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|io_rden~combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~62_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~63_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~63 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~63 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~63 .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y20_N41 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~63_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y22_N23 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[3] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y22_N32 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[3] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y22_N10 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y22_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux28~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux28~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3] & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]))))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux28~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux28~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux28~0 .lut_mask = 64'h110311CFDD03DDCF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux28~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux28~0_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & // \neorv32_top_inst|io_rden~combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datab(gnd), .datac(!\neorv32_top_inst|io_rden~combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux28~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31 .lut_mask = 64'h0000000005050505; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y20_N25 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X53_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~47 ( // Equation(s): // \neorv32_top_inst|rdata_v~47_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [3] & ( (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [3] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [16]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [3]), .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [16]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~47_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~47 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~47 .lut_mask = 64'hF000F00000000000; defparam \neorv32_top_inst|rdata_v~47 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y21_N33 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~48 ( // Equation(s): // \neorv32_top_inst|rdata_v~48_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 & ( \neorv32_top_inst|rdata_v~47_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [3])) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 & ( \neorv32_top_inst|rdata_v~47_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [3]) ) ) ) # ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 & ( !\neorv32_top_inst|rdata_v~47_combout ) ) # // ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 & ( !\neorv32_top_inst|rdata_v~47_combout ) ) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [3]), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 ), .dataf(!\neorv32_top_inst|rdata_v~47_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~48_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~48 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~48 .lut_mask = 64'hFFFFFFFF000F555F; defparam \neorv32_top_inst|rdata_v~48 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y21_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder_combout = ( \neorv32_top_inst|rdata_v~48_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|rdata_v~48_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y21_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3] .power_up = "low"; // synopsys translate_on // Location: FF_X57_Y21_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~48_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][3]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y21_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][3]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][3]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~21 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~21 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y21_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~43_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3] .power_up = "low"; // synopsys translate_on // Location: FF_X57_Y21_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~43_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y21_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~21_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~21_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~21_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58 .lut_mask = 64'h111B111BBB1BBB1B; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~109 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~109_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout ))))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~109_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~109 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~109 .lut_mask = 64'h000000001B001B0A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~109 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~59 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~59_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~109_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~109_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~109_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~59_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~59 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~59 .lut_mask = 64'h03470347CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~59 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y21_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~59_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y14_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [19] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0 .lut_mask = 64'h000F555CFF0FCC5C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y13_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y12_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~121_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 // )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~121 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~121_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89_sumout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q // ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~121_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~121 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~121 .lut_mask = 64'h00DD00DD22FF22FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~121 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y12_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~121_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y12_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y12_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~127 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~127_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q // & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout // & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~127_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~127 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~127 .lut_mask = 64'h00AF00AF50FF50FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~127 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y12_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~127_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y12_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~132 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~132_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97_sumout // )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97_sumout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~132_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~132 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~132 .lut_mask = 64'h05F505F500FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~132 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y12_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~132_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y12_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0 .lut_mask = 64'h003300330F3F0F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y14_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1 .lut_mask = 64'hFFCCF0C000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~133 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~133_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6])) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~133_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~133 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~133 .lut_mask = 64'h03030303F303F303; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~133 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y16_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~134 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~134_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~133_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~133_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~133_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~134_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~134 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~134 .lut_mask = 64'h000F000F555F555F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~134 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y16_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~134_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[6] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y15_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y15_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [6]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [6]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [6]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [6]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2 .lut_mask = 64'h050505050505FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y14_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout // ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [6] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [6] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [6]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3 .lut_mask = 64'hFFFF111FFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y14_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y14_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata // [6])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0 .lut_mask = 64'h00005555CCCCD1D1; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y14_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~130 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~130_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6])) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6]))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [6] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~130_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~130 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~130 .lut_mask = 64'h10101F1FB0B0BFBF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~130 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y14_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~130_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y14_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout )))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec // [6])))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0 .lut_mask = 64'h085D0F5F3B7F3F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y14_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X50_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~4 .lut_mask = 64'h0800108286818070; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y17_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~3 .lut_mask = 64'h0B78ED8351604180; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~2 .lut_mask = 64'hC20000860006002A; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~1 .lut_mask = 64'h4082820005008014; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~5_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~2_combout )))))) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout // & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~3_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~4_combout ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~4_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~3_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datag(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~1_combout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~5 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~5 .lut_mask = 64'h000000000A5F1B1B; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X51_Y17_N25 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y19_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~4 .lut_mask = 64'h505050505F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y19_N11 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[22] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y19_N53 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y19_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~50 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~50_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [22] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [22]) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [22] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [22]) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [22]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~50_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~50 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~50 .lut_mask = 64'h00550055AAFFAAFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~50 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~51 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~51_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~50_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~50_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~51_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~51 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~51 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~51 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y19_N38 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~51_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y18_N31 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y21_N7 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y23_N41 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y23_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux9~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux9~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22] ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22] ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22]), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux9~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux9~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux9~0 .lut_mask = 64'h0F0F5555333300FF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux9~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y22_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25_combout = ( \neorv32_top_inst|io_rden~combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux9~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux9~0_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25 .lut_mask = 64'h0000000003030303; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y22_N20 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y22_N21 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~37 ( // Equation(s): // \neorv32_top_inst|rdata_v~37_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [22] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [22]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [22]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~37_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~37 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~37 .lut_mask = 64'hF000F00000000000; defparam \neorv32_top_inst|rdata_v~37 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y21_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~1 .lut_mask = 64'h303030303F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X70_Y21_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~0 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~3 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23] .power_up = "low"; // synopsys translate_on // Location: M10K_X58_Y21_N0 cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 ( .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), .ena0(vcc), .ena1(vcc), .ena2(vcc), .ena3(vcc), .clr0(gnd), .clr1(gnd), .nerror(vcc), .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21], \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]}), .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portabyteenamasks(1'b1), .portbdatain(5'b00000), .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; // synopsys translate_on // Location: LABCELL_X57_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~38 ( // Equation(s): // \neorv32_top_inst|rdata_v~38_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 & ( ((!\neorv32_top_inst|rdata_v~37_combout ) # // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [22] & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) // ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 & ( (!\neorv32_top_inst|rdata_v~37_combout ) # ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [22] & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q )) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [22]), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datac(!\neorv32_top_inst|rdata_v~37_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~38_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~38 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~38 .lut_mask = 64'hF0F5F0F5F3F7F3F7; defparam \neorv32_top_inst|rdata_v~38 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux23~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux23~0_combout = ( \neorv32_top_inst|rdata_v~15_combout & ( \neorv32_top_inst|rdata_v~38_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])) # (\neorv32_top_inst|rdata_v~36_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # // (\neorv32_top_inst|rdata_v~16_combout )))) ) ) ) # ( !\neorv32_top_inst|rdata_v~15_combout & ( \neorv32_top_inst|rdata_v~38_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])) # (\neorv32_top_inst|rdata_v~36_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // \neorv32_top_inst|rdata_v~16_combout )))) ) ) ) # ( \neorv32_top_inst|rdata_v~15_combout & ( !\neorv32_top_inst|rdata_v~38_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (\neorv32_top_inst|rdata_v~36_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # (\neorv32_top_inst|rdata_v~16_combout )))) ) ) // ) # ( !\neorv32_top_inst|rdata_v~15_combout & ( !\neorv32_top_inst|rdata_v~38_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (\neorv32_top_inst|rdata_v~36_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & \neorv32_top_inst|rdata_v~16_combout )))) ) ) ) .dataa(!\neorv32_top_inst|rdata_v~36_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datad(!\neorv32_top_inst|rdata_v~16_combout ), .datae(!\neorv32_top_inst|rdata_v~15_combout ), .dataf(!\neorv32_top_inst|rdata_v~38_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux23~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux23~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux23~0 .lut_mask = 64'h404370734C4F7C7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux23~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux23~0_combout & ( \neorv32_top_inst|rdata_v~36_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # // (\neorv32_top_inst|rdata_v~38_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux23~0_combout & ( \neorv32_top_inst|rdata_v~36_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # (\neorv32_top_inst|rdata_v~38_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux23~0_combout & ( !\neorv32_top_inst|rdata_v~36_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & \neorv32_top_inst|rdata_v~38_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux23~0_combout & ( !\neorv32_top_inst|rdata_v~36_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (\neorv32_top_inst|rdata_v~38_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|rdata_v~38_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux23~0_combout ), .dataf(!\neorv32_top_inst|rdata_v~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0 .lut_mask = 64'h0100CD0023FFEFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y19_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [6]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [6]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [6]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0 .lut_mask = 64'hFF00FFFF33553355; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97 .lut_mask = 64'h0000FFFF0000396C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y17_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~49_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux25~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux25~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE_q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE_q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux25~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux25~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux25~0 .lut_mask = 64'h00550055FF55FF55; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux25~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X72_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux25~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux25~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux25~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE_q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux25~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE_q & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux25~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE_q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux25~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE_q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux25~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux25~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux25~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux25~0 .lut_mask = 64'h0003303355577577; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux25~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b // [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25])))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1 .lut_mask = 64'h0A085F4C3BC67F93; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y19_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2 .lut_mask = 64'h5F5F5F5F5FFF5FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout & // ((((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux25~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux25~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3 .lut_mask = 64'h0202002033333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y15_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [16]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [16]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [16]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y15_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y20_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~44 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~44_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~44_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~44 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~44 .lut_mask = 64'h550055FF550055FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~44 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y20_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~44_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y20_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~42 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~42_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~42_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~42 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~42 .lut_mask = 64'h0A0A0A0A5F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~42 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y20_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~42_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~40 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~40_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [13])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [13]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~40_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~40 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~40 .lut_mask = 64'h505F505F505F505F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~40 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y15_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~40_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~38 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~38_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~38_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~38 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~38 .lut_mask = 64'h00550055FF55FF55; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~38 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y15_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~38_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~36 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~36_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [11]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [11]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [11]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~36_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~36 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~36 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~36 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y15_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~36_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~34 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~34_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]))) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~34_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~34 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~34 .lut_mask = 64'h0C3F0C3F0C3F0C3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~34 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y15_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~34_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [9]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [9]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [9]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y15_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~52 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~52_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [8])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]))) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [8]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~52_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~52 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~52 .lut_mask = 64'h0C3F0C3F0C3F0C3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~52 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y15_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~52_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7] ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~0 .lut_mask = 64'h00000F0FFFFF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y18_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101 .lut_mask = 64'h0000FFFF0000396C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~0_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~0_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~1 .lut_mask = 64'h00305575003F557F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y15_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~138 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~138_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7])) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~138_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~138 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~138 .lut_mask = 64'h05050505F505F505; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~138 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y15_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~139 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~139_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~138_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~138_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~138_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~139_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~139 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~139 .lut_mask = 64'h0303030303FF03FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~139 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y15_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~139_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[7] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y14_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[7] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y14_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [7]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [7] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [7]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5 .lut_mask = 64'h000033330F0F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y12_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y15_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140 .lut_mask = 64'h00000000C000C000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y15_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~179 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~179_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ))))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~179_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~179 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~179 .lut_mask = 64'h0C1D0C1DFFDD3F1D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~179 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y15_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~179_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y12_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6 .lut_mask = 64'hFCA8FCA8FCA80000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y14_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0 .lut_mask = 64'h0000000000500050; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y14_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [21])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1 .lut_mask = 64'h000000000E0A0E0A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y14_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2 .lut_mask = 64'hFFF0FFF000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y21_N10 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y21_N47 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0 .lut_mask = 64'h11111111DDDDDDDD; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[26] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y21_N19 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y21_N56 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & // ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & // ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] // & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0 .lut_mask = 64'h9000009009000009; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y22_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0 .lut_mask = 64'h00000F0FF0F0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y22_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y23_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y23_N11 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y23_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~2 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~2_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~2 .lut_mask = 64'h0F0F0F0FF0F0F0F0; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0 .lut_mask = 64'h03030303F3F3F3F3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[25] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y23_N53 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y23_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~2_combout )) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~2_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~2_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9 .lut_mask = 64'h4040404010101010; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23] .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y18_N34 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27])) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]) # // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26])) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26])))) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16 .lut_mask = 64'h40C44040DCFDDCDC; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y23_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24] & // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout // & ( ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25]) ) // ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17 .lut_mask = 64'hFFF5F7F100000000; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y23_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE_q ) # // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] & // ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi // [23])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout ) # // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE_q & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi // [22] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi // [23])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18 .lut_mask = 64'h00EF00CE00EF00EF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y19_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0 .lut_mask = 64'h00000F0FF0F0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[30] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y21_N38 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0 .lut_mask = 64'h11111111DDDDDDDD; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[29] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y21_N28 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X55_Y18_N37 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y19_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0 .lut_mask = 64'h505050505F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[31] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y20_N29 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y23_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1 .lut_mask = 64'h8200008241000041; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N43 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14] .power_up = "low"; // synopsys translate_on // Location: FF_X55_Y18_N31 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13]) # (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12])) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & ( // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13]))) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11 .lut_mask = 64'h20FFF2FF002000F2; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux9~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux9~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux9~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux9~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux9~0 .lut_mask = 64'h0C000C003FFF3FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux9~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux9~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y22_N23 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[15] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y22_N17 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[16] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y22_N52 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y22_N47 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[17] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y22_N11 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y22_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18] & ( // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12 .lut_mask = 64'h44FF44FF00440044; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y22_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]))) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1 .lut_mask = 64'h9090909009090909; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y22_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout // & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]) # // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout // & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13 .lut_mask = 64'hFFFF0000F3710000; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y22_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16])))) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16])))) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4 .lut_mask = 64'h0900000909000009; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y21_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2 .lut_mask = 64'h9009000000009009; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y23_N56 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[21] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y23_N41 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y23_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3 .lut_mask = 64'h8241000000008241; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y22_N50 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[8] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y21_N59 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[11] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y22_N31 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y22_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]))) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2 .lut_mask = 64'hA00AA00A50055005; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y22_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10] & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10] & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8 .lut_mask = 64'h0F000F00AF0AAF0A; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y18_N13 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y22_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi // [9] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi // [9] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]) # // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9 .lut_mask = 64'hFB00FF00F000FB00; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y22_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8])))) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8])))) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6 .lut_mask = 64'h4010401004010401; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y21_N35 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y22_N22 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y21_N47 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y22_N19 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y21_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6] & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6] & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7 .lut_mask = 64'h33003300BB22BB22; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y21_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout = (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6] & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7])))) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5 .lut_mask = 64'h8421842184218421; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y21_N11 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y22_N16 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y18_N40 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y21_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5]) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5]))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5 .lut_mask = 64'h30F330F300F000F0; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y22_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3] & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3] & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4 .lut_mask = 64'h0A0A0A0AAF0AAF0A; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y22_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout = (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3] & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2])))) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7 .lut_mask = 64'h8241824182418241; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y22_N41 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y22_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] & ( // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3 .lut_mask = 64'h55F555F500500050; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y21_N10 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y21_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5]~DUPLICATE_q ))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi // [4] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5]~DUPLICATE_q // ))) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8 .lut_mask = 64'hA00AA00A50055005; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y22_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout // )))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6 .lut_mask = 64'hAAAAAAAA88808880; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y23_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout // & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ) # // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10 .lut_mask = 64'h5444544454545454; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y23_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout ) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout )) // ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout ) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout ) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14 .lut_mask = 64'h0000CDCF0000CDCD; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y23_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22] ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3 .lut_mask = 64'h0000FFFFFFFF0000; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y20_N22 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[30] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y20_N34 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[31] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y21_N28 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y21_N31 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y23_N10 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y23_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27 .lut_mask = 64'h8020401008020401; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y21_N13 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y23_N59 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y18_N1 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y21_N59 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[27] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y21_N53 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y21_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] // & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28])))) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0 .lut_mask = 64'h9000009009000009; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y23_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]))) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1 .lut_mask = 64'h0000848400002121; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y23_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y23_N35 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y23_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23] & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23] & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2 .lut_mask = 64'h0A0A0A0A05050505; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & // ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]))) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]))) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo // [26] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24 .lut_mask = 64'h71F3307130F33030; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y23_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout // & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25]) # // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout // & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25 .lut_mask = 64'hFFFFD4DD00000000; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y23_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] // & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26 .lut_mask = 64'h0000EFFF0000CCEF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y23_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]) # // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo // [31] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & // ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]) # // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28 .lut_mask = 64'h71500000FFFF7150; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y23_N5 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[20] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y21_N1 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y23_N49 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y23_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21 .lut_mask = 64'h9000090000900009; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N43 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14] .power_up = "low"; // synopsys translate_on // Location: FF_X57_Y18_N37 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[13] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y22_N40 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y21_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]) # (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16 .lut_mask = 64'h0A8AAFEF0008AAAE; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y23_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]))) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21])) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21])) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & // ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22 .lut_mask = 64'h40F4D0FD00F0C0FC; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y22_N53 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[18] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y22_N41 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[17] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y22_N55 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y22_N52 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y22_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18] & // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18] & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18] & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]))) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19 .lut_mask = 64'h5F055F0555005500; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y22_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17 .lut_mask = 64'hC00CC00C30033003; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y22_N58 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[16] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y22_N49 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y22_N5 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y22_N46 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y22_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo // [16]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15] // & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo // [16]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15] // & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo // [16] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15] // & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo // [16]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20 .lut_mask = 64'hA8AA88A8A8AAA8AA; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y22_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]))) ) ) ) # // ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18 .lut_mask = 64'h2121000000002121; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y22_N44 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y22_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1] & // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1]) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1] & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0]))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4 .lut_mask = 64'h30F330F330303030; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y22_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout ) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & ( // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5 .lut_mask = 64'h3B3BBFBF02022323; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y21_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14 .lut_mask = 64'h8200410000820041; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y18_N10 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[8] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y22_N34 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y21_N59 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[11] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y18_N47 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y22_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]))) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10 .lut_mask = 64'h8484848421212121; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y22_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8] & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9])))) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9])))) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11 .lut_mask = 64'h0084008400210021; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N16 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y21_N17 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[4] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y22_N22 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y22_N19 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y21_N5 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[7] .power_up = "low"; // synopsys translate_on // Location: FF_X55_Y18_N13 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y21_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8 .lut_mask = 64'h0000AAAAA0A0FAFA; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y21_N38 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y21_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]))) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6 .lut_mask = 64'hA050A0500A050A05; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y21_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout & // ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4])) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9 .lut_mask = 64'hFF00FF00DF004500; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y21_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7 .lut_mask = 64'h00000000A00A5005; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y22_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11] & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12 .lut_mask = 64'h00AA00AA88EE88EE; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y22_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout // & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13 .lut_mask = 64'hEFFFAAEF00000000; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y21_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout // & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout // )) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15 .lut_mask = 64'h3333333303000301; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y23_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout // & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout ) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23 .lut_mask = 64'hA0F0A0E0A0F0A0A0; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y23_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27_combout ) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28_combout & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27_combout ) # // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2_combout ) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29 .lut_mask = 64'hCCFD0000CCFF0000; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y23_N14 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y22_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff~q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1])))) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] $ // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1])))) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10 .lut_mask = 64'h0000000090099009; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y23_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10_combout & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y23_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0 .lut_mask = 64'h0F0F0F0FF0F0F0F0; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y23_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~1 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~1_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE_q ) // ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE_q ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~1 .lut_mask = 64'h55555555AAAAAAAA; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y23_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0_combout & // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~2_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~1_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] // & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0_combout & // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~2_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0 .lut_mask = 64'h4000000010000000; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y23_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30])) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]))) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q )))) ) ) ) // # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q ) // # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12 .lut_mask = 64'h5DDF5D5D04450404; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y23_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout ) # // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout // ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13 .lut_mask = 64'hFFFFFFFE00000000; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y23_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20])) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]) # // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19])) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19])))) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15 .lut_mask = 64'h40D04040F4FDF4F4; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y23_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout & ( // (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout & // ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ) # // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout // & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout // & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ) # // ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14 .lut_mask = 64'hFF22FF23FF22FF33; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y23_N25 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y14_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y14_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0 .lut_mask = 64'h0000000000400040; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y14_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1 .lut_mask = 64'h0000000000050005; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y14_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [7]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [7]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3 .lut_mask = 64'h000F000F333F333F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y14_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [7] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout )) ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [7]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4 .lut_mask = 64'h3300000030000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y14_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y14_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~135 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~135_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [7]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [7])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [7]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~135_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~135 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~135 .lut_mask = 64'h048C048C37BF37BF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~135 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y14_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~135_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y14_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7] & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout // ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~7 .lut_mask = 64'hFFF5FFF5FFF7FFF7; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~7 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y14_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~7_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~7 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~7_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~7 .lut_mask = 64'h0000000060802040; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~2 .lut_mask = 64'h4E660D17400E170E; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout $ // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~1 .lut_mask = 64'h872A097CC0BD00FF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~3 .lut_mask = 64'h4DA380C531466027; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~4 .lut_mask = 64'h42441734102284F2; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~5_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~4_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~3_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~4_combout & // ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~3_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~4_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~1_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~2_combout )) ) ) ) // # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~4_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~1_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~2_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~1_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~3_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~4_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~5 .lut_mask = 64'hD1D1D1D100CC33FF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~6_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~5_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~7_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~5_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~7_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~7_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~5_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~6 .lut_mask = 64'h0000000000F0C0F0; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y18_N43 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[7] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y20_N41 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[7] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y18_N17 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~40 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~40_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [7] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [7]) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [7] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [7]) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [7]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [7]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~40_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~40 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~40 .lut_mask = 64'h00550055AAFFAAFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~40 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y20_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~41 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~41_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~40_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|io_rden~combout ), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~40_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~41_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~41 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~41 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y20_N34 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~41_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y21_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux24~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux24~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7] ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7]), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux24~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux24~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux24~0 .lut_mask = 64'h0F0F555500FF3333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux24~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y21_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux24~0_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & // \neorv32_top_inst|io_rden~combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datab(!\neorv32_top_inst|io_rden~combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux24~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20 .lut_mask = 64'h0000000011111111; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y21_N56 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X53_Y21_N45 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~29 ( // Equation(s): // \neorv32_top_inst|rdata_v~29_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [7] & ( (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [7] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [7]), .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [7]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~29 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~29 .lut_mask = 64'hF000F00000000000; defparam \neorv32_top_inst|rdata_v~29 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y21_N42 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~30 ( // Equation(s): // \neorv32_top_inst|rdata_v~30_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 & ( ((!\neorv32_top_inst|rdata_v~29_combout ) # // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [7]))) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 & ( (!\neorv32_top_inst|rdata_v~29_combout ) # ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [7])) ) ) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [7]), .datad(!\neorv32_top_inst|rdata_v~29_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~30 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~30 .lut_mask = 64'hFF03FF03FF57FF57; defparam \neorv32_top_inst|rdata_v~30 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y21_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( // \neorv32_top_inst|rdata_v~30_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (\neorv32_top_inst|rdata_v~30_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ((\neorv32_top_inst|rdata_v~32_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( \neorv32_top_inst|rdata_v~30_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // (\neorv32_top_inst|rdata_v~30_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ((\neorv32_top_inst|rdata_v~32_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|rdata_v~30_combout ), .datad(!\neorv32_top_inst|rdata_v~32_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0 .lut_mask = 64'h02130F0FCEDF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y21_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [7])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [7]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0 .lut_mask = 64'hF5F5F5F5303F303F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [28]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]) // # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24])))) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24])) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1 .lut_mask = 64'h053704C9F5F7C439; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y19_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2 .lut_mask = 64'h555F555FFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~1_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux24~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3 .lut_mask = 64'h0300100033333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y15_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0 .lut_mask = 64'h03030303EEEE0303; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y11_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7 .lut_mask = 64'hFFFFFFFF00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y11_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y15_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~87 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~87_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8])) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~87_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~87 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~87 .lut_mask = 64'h03030303F303F303; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~87 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y15_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~88 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~88_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~87_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~87_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~87_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~88_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~88 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~88 .lut_mask = 64'h0505050505FF05FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~88 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y15_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~88_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[8] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y16_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [8]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [8] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [8]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [8] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [8]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89 .lut_mask = 64'h0500F50005FFF5FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y16_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y12_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] // & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [8])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [8]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3 .lut_mask = 64'h0000000000000145; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y12_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [8]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [8]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1 .lut_mask = 64'h0303030303FF03FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y12_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y12_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0 .lut_mask = 64'h00000F0F55555F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y12_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout )) ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2 .lut_mask = 64'h8888880000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y12_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [8] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [8]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4 .lut_mask = 64'hFFFFFFFF0FAF0FAF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y12_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[8] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y15_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y15_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8])))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout )))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0 .lut_mask = 64'h083B0F3F5D7F5F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y15_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X53_Y21_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_RESYN221 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_RESYN221_BDD222 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_RESYN221_BDD222 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_RESYN221 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_RESYN221 .lut_mask = 64'hAAAA0000AAAAAAAA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_RESYN221 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y21_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout = ( \neorv32_top_inst|rdata_v~24_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_RESYN221_BDD222 ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (\neorv32_top_inst|rdata_v~25_combout ))) ) ) ) # ( // !\neorv32_top_inst|rdata_v~24_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_RESYN221_BDD222 & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (\neorv32_top_inst|rdata_v~25_combout )))) ) ) ) # ( \neorv32_top_inst|rdata_v~24_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_RESYN221_BDD222 ) # ((\neorv32_top_inst|rdata_v~25_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) ) ) ) # ( !\neorv32_top_inst|rdata_v~24_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( // (\neorv32_top_inst|rdata_v~25_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_RESYN221_BDD222 )) ) ) ) .dataa(!\neorv32_top_inst|rdata_v~25_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_RESYN221_BDD222 ), .datae(!\neorv32_top_inst|rdata_v~24_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1 .lut_mask = 64'h0011FF1100D1FFD1; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y21_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y15_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8] // & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~DUPLICATE_q ) ) ) // ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0 .lut_mask = 64'hDDDDDDDD0033CCFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y16_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [8] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [8]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~0 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y20_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE_q ))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE_q ))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61 .lut_mask = 64'h0000FFFF0000396C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y16_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~0_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~1 .lut_mask = 64'h000F444F000F777F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]))) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1 .lut_mask = 64'h053604CDAF9C8CEF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y19_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2 .lut_mask = 64'h55FF55FF5FFF5FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y19_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout & // ((((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2_combout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux23~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3 .lut_mask = 64'h0404004055555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1 .lut_mask = 64'h050A362BFAAF362B; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y20_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2 .lut_mask = 64'h3F3F3F3F7F7F7F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y19_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_RESYN223 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_RESYN223_BDD224 = ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_RESYN223_BDD224 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_RESYN223 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_RESYN223 .lut_mask = 64'h3B3B3B3B3B3B3B3B; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_RESYN223 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_combout = ( \neorv32_top_inst|rdata_v~28_combout & ( \neorv32_top_inst|rdata_v~26_combout & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_RESYN223_BDD224 ) ) ) ) # ( !\neorv32_top_inst|rdata_v~28_combout & ( \neorv32_top_inst|rdata_v~26_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_RESYN223_BDD224 ) ) ) ) # ( \neorv32_top_inst|rdata_v~28_combout & ( !\neorv32_top_inst|rdata_v~26_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_RESYN223_BDD224 & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( !\neorv32_top_inst|rdata_v~28_combout & ( !\neorv32_top_inst|rdata_v~26_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_RESYN223_BDD224 & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_RESYN223_BDD224 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(!\neorv32_top_inst|rdata_v~28_combout ), .dataf(!\neorv32_top_inst|rdata_v~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0 .lut_mask = 64'h400040CC733373FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y19_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y14_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [9] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o // [9] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [9] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~DUPLICATE_q ))) // ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [9]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0 .lut_mask = 64'hFF00330FFFFF330F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y18_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout = SUM(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 = CARRY(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65 .lut_mask = 64'h0000C69300000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y16_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~33_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [9] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [9]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~0 .lut_mask = 64'h0F0F0F0F55555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~1 .lut_mask = 64'h00000F3355555F77; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout ))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux22~1_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3 .lut_mask = 64'h003B0033003B00B3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10] .power_up = "low"; // synopsys translate_on // Location: FF_X74_Y16_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16] .power_up = "low"; // synopsys translate_on // Location: FF_X74_Y16_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21] .power_up = "low"; // synopsys translate_on // Location: FF_X74_Y16_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~33_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~33_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~33 .lut_mask = 64'h00005073AF00FF73; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~33 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~33_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27]~DUPLICATE_q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27]~DUPLICATE_q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14 .lut_mask = 64'h11111111DDDDDDDD; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE_q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE_q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7 .lut_mask = 64'h11111111DDDDDDDD; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4 .lut_mask = 64'h0F0F00000F0FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X81_Y16_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16]~DUPLICATE_q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16]~DUPLICATE_q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X81_Y16_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y16_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23 .lut_mask = 64'h4747474747474747; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[14] .power_up = "low"; // synopsys translate_on // Location: FF_X73_Y19_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y19_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14])) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22 .lut_mask = 64'h1D1D1D1D1D1D1D1D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X71_Y15_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~36_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y16_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [10] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42] ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [10]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~0 .lut_mask = 64'h00FF00FF0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y20_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~35_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE_q ))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE_q ))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69 .lut_mask = 64'h0000FFFF0000396C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~0_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~1 .lut_mask = 64'h050505CD050537FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_RESYN225 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_RESYN225_BDD226 = ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_RESYN225_BDD226 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_RESYN225 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_RESYN225 .lut_mask = 64'h3F0F3F0F3F0F3F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_RESYN225 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_combout = ( \neorv32_top_inst|rdata_v~19_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((\neorv32_top_inst|rdata_v~20_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_RESYN225_BDD226 ) ) ) ) # ( // !\neorv32_top_inst|rdata_v~19_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_RESYN225_BDD226 & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((\neorv32_top_inst|rdata_v~20_combout ))))) ) ) ) # ( \neorv32_top_inst|rdata_v~19_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|rdata_v~20_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_RESYN225_BDD226 ) ) ) ) # ( !\neorv32_top_inst|rdata_v~19_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_RESYN225_BDD226 & \neorv32_top_inst|rdata_v~20_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_RESYN225_BDD226 ), .datad(!\neorv32_top_inst|rdata_v~20_combout ), .datae(!\neorv32_top_inst|rdata_v~19_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0 .lut_mask = 64'h00500F5F80D08FDF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y20_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y17_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [10] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10]) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [10] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [10] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [10]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0 .lut_mask = 64'hBBBB0055BBBBAAFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) // # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1 .lut_mask = 64'h050437C9AF8CBF63; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y17_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2 .lut_mask = 64'h5555FFFF55FFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y17_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~1_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux21~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3 .lut_mask = 64'h0333103300330033; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y14_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b // [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] // & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1 .lut_mask = 64'h00C832FA5AD679F5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y14_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2 .lut_mask = 64'h777777777F7F7F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y21_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_RESYN227 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_RESYN227_BDD228 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_RESYN227_BDD228 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_RESYN227 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_RESYN227 .lut_mask = 64'h3F3F3F3F0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_RESYN227 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y21_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_combout = ( \neorv32_top_inst|rdata_v~17_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((\neorv32_top_inst|rdata_v~18_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_RESYN227_BDD228 ) ) ) ) # ( // !\neorv32_top_inst|rdata_v~17_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_RESYN227_BDD228 & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((\neorv32_top_inst|rdata_v~18_combout ))))) ) ) ) # ( \neorv32_top_inst|rdata_v~17_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|rdata_v~18_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_RESYN227_BDD228 ) ) ) ) # ( !\neorv32_top_inst|rdata_v~17_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_RESYN227_BDD228 & \neorv32_top_inst|rdata_v~18_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_RESYN227_BDD228 ), .datad(!\neorv32_top_inst|rdata_v~18_combout ), .datae(!\neorv32_top_inst|rdata_v~17_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0 .lut_mask = 64'h00300F3F80B08FBF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y21_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[11] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X78_Y19_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [11]) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [11]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [11]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0 .lut_mask = 64'hF5F50303F5F5F3F3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y19_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [11] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE_q ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [11]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~0 .lut_mask = 64'h00FF00FF33333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout = SUM(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 = CARRY(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73 .lut_mask = 64'h0000C69300000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~0_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~1 .lut_mask = 64'h030303AB030357FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout ))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux20~1_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3 .lut_mask = 64'h1311111113113111; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y15_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0 .lut_mask = 64'h03030303FFF00303; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y14_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y14_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y13_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y11_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y13_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q // & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62 .lut_mask = 64'h0000AFAF5050FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y13_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y11_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 // )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y13_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67 .lut_mask = 64'h0000FF5500AAFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y13_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y11_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y12_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72 .lut_mask = 64'h0000FF5500AAFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y12_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y11_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y14_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77 .lut_mask = 64'h0000F3F30C0CFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y14_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y11_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y13_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q // & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82 .lut_mask = 64'h0000AFAF5050FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y13_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y11_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y15_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~161 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~161_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~161_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~161 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~161 .lut_mask = 64'h0000F3F30C0CFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~161 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y15_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~161_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y11_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y14_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~164 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~164_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~164_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~164 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~164 .lut_mask = 64'h0000F3F30C0CFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~164 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y14_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~164_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y11_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~126 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~126 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y15_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~171 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~171_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~171_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~171 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~171 .lut_mask = 64'h0000F3F30C0CFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~171 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y15_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~171_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y11_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129_sumout = SUM(( GND ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~126 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~126 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129_sumout ), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129 .lut_mask = 64'h0000FFFF00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y11_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0 .lut_mask = 64'h000000000000F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y12_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0] ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl [0] ) + ( // !VCC )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0] ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl [0] ) + ( !VCC // )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl [0]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1 .lut_mask = 64'h0000F0F000005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y12_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 // )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y12_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0 .lut_mask = 64'h00000F0F55555F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y13_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~143_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y14_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout // & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2]~DUPLICATE_q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2]~DUPLICATE_q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1 .lut_mask = 64'h00000F0F55555F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y12_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~3_combout // & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ) // ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2 .lut_mask = 64'hA0A0808000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y12_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2] & ( // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2] & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4 .lut_mask = 64'hFFF0FFF5FFF3FFF7; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y12_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y16_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0 .lut_mask = 64'h0033F0A30F3AFFAA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y14_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y14_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y13_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~143_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y12_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~148 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~148_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3]))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3])))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~148_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~148 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~148 .lut_mask = 64'h087F087F087F087F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~148 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y12_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~148_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y12_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~122 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~122_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout ) ) // ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~122_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~122 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~122 .lut_mask = 64'h0A0A5F5F0000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~122 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y12_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~122_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y14_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~126 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~126_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~126_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~126 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~126 .lut_mask = 64'h00000FFFF000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~126 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y14_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~126_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y12_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~131 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~131_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97_sumout )) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6]))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97_sumout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~131_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~131 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~131 .lut_mask = 64'h303F303F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~131 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y12_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~131_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y12_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~137 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~137_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7]))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7])))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~137_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~137 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~137 .lut_mask = 64'h087F087F087F087F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~137 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y12_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~137_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y15_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61_sumout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61_sumout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61_sumout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86 .lut_mask = 64'h50505F5F0000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y15_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y11_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~91 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~91_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~91_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~91 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~91 .lut_mask = 64'h000033FFCC00FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~91 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y11_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9] .power_up = "low"; // synopsys translate_on // Location: FF_X66_Y11_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~91_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y13_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~96 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~96_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~96_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~96 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~96 .lut_mask = 64'h00005F5FA0A0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~96 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y13_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~96_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y12_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y13_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~101 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~101_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11]))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11])))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~101_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~101 .lut_mask = 64'h087F087F087F087F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~101 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y13_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~101_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y12_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~106 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~106_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12]))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12])))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~106_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~106 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~106 .lut_mask = 64'h087F087F087F087F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~106 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y12_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~106_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y14_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y12_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [12] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [12]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1 .lut_mask = 64'h00000F0F33333F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y12_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X53_Y12_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE_q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE_q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0 .lut_mask = 64'h00000F0F55555F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y12_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout )) ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout // & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2 .lut_mask = 64'hC0C0808000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y12_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y12_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12] & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch // [12] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12] & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ) ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4 .lut_mask = 64'hFFFF5757FFFF57FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y12_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y13_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata // [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0 .lut_mask = 64'h00330033F0F3F003; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y12_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[12] .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y13_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~109_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y13_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0 .lut_mask = 64'h0A330F3F5F775F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y13_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y20_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_RESYN229 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_RESYN229_BDD230 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_RESYN229_BDD230 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_RESYN229 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_RESYN229 .lut_mask = 64'hC0C0F0F0C0C0F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_RESYN229 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( \neorv32_top_inst|rdata_v~34_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_RESYN229_BDD230 ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (\neorv32_top_inst|rdata_v~35_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( \neorv32_top_inst|rdata_v~34_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_RESYN229_BDD230 ) # ((\neorv32_top_inst|rdata_v~35_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( !\neorv32_top_inst|rdata_v~34_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_RESYN229_BDD230 & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (\neorv32_top_inst|rdata_v~35_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( !\neorv32_top_inst|rdata_v~34_combout & ( (\neorv32_top_inst|rdata_v~35_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_RESYN229_BDD230 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) ) ) ) .dataa(!\neorv32_top_inst|rdata_v~35_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_RESYN229_BDD230 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .dataf(!\neorv32_top_inst|rdata_v~34_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0 .lut_mask = 64'h00113011CCDDFCDD; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y20_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y13_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [12] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0 .lut_mask = 64'hFFFF0F0F33335555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y16_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b // [19] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12])))) ) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [12])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] // & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1 .lut_mask = 64'h111E444DEE1EDD4D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2 .lut_mask = 64'h55FF55FF5FFF5FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44] ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~0 .lut_mask = 64'h0F0F0F0F33333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77 .lut_mask = 64'h0000FFFF0000396C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ) ) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q ))) ) ) // ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff // [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q ))) ) ) // ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~1 .lut_mask = 64'h0357005503570F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout ))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux19~1_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3 .lut_mask = 64'h0455005504554055; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y18_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~27 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~27 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~27 .lut_mask = 64'h0F0F00000F0FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~27 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y18_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_NEW_REG115 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_OTERM116 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_NEW_REG115 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_NEW_REG115 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y20_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_OTERM116 & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_OTERM118 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_OTERM116 & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_OTERM118 ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_OTERM118 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]_OTERM116 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34 .lut_mask = 64'h00F000F00FFF0FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y14_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y14_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~110 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~110_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [13])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [13])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [13]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~110_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~110 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~110 .lut_mask = 64'h1D1D00001D1DFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~110 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y14_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~110_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y16_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~113 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~113_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~113_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~113 .lut_mask = 64'h03030303CFCF0303; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~113 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y14_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~114 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~114_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~113_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~113_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~113_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~114_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~114 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~114 .lut_mask = 64'h030303FF030303FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~114 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y14_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~114_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y13_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [13] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [13] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [13]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2 .lut_mask = 64'h111F111F111F111F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y13_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[13] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y14_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y12_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0 .lut_mask = 64'h0505050505FF05FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y12_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13])))) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1 .lut_mask = 64'hFA00FA00C800C800; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y13_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout // & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout ) ) ) // ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch // [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3 .lut_mask = 64'hFFFFFFFF0F5F3F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y13_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y13_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata // [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0 .lut_mask = 64'h00550055F0F5F005; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y13_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y13_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~DUPLICATE_q ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0 .lut_mask = 64'h0A330F3F5F775F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y13_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y21_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231_BDD232 = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231_BDD232 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231 .lut_mask = 64'hAFAF0000AFAF0000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y21_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( \neorv32_top_inst|rdata_v~23_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231_BDD232 & (((\neorv32_top_inst|rdata_v~22_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231_BDD232 & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( \neorv32_top_inst|rdata_v~23_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231_BDD232 & ((\neorv32_top_inst|rdata_v~22_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231_BDD232 & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout // & ( !\neorv32_top_inst|rdata_v~23_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231_BDD232 & (((\neorv32_top_inst|rdata_v~22_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231_BDD232 & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( !\neorv32_top_inst|rdata_v~23_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231_BDD232 & \neorv32_top_inst|rdata_v~22_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_RESYN231_BDD232 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|rdata_v~22_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .dataf(!\neorv32_top_inst|rdata_v~23_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0 .lut_mask = 64'h00CC20EC03CF23EF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y21_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y13_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] // & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [13] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0 .lut_mask = 64'hFFFF0F0F33335555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b // [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [13])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [13]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [13]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1 .lut_mask = 64'h4747470047B8FF47; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2 .lut_mask = 64'h3333FFFF7777FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y16_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~41_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [13]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [13]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [13]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~0 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout = SUM(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 = CARRY(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81 .lut_mask = 64'h0000C69300000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~0_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~0_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~1 .lut_mask = 64'h000C555D030F575F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout ))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux18~1_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3 .lut_mask = 64'h0233003302332033; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1 .lut_mask = 64'h003C5479FCF35479; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2 .lut_mask = 64'h0FFF0FFF5FFF5FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233_BDD234 = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]))) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233_BDD234 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233 .lut_mask = 64'hCF00CF00CF00CF00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( \neorv32_top_inst|rdata_v~16_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233_BDD234 & (((\neorv32_top_inst|rdata_v~15_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233_BDD234 & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( \neorv32_top_inst|rdata_v~16_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233_BDD234 & ((\neorv32_top_inst|rdata_v~15_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233_BDD234 & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout // & ( !\neorv32_top_inst|rdata_v~16_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233_BDD234 & (((\neorv32_top_inst|rdata_v~15_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233_BDD234 & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( !\neorv32_top_inst|rdata_v~16_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233_BDD234 & \neorv32_top_inst|rdata_v~15_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_RESYN233_BDD234 ), .datad(!\neorv32_top_inst|rdata_v~15_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .dataf(!\neorv32_top_inst|rdata_v~16_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0 .lut_mask = 64'h00F008F803F30BFB; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y19_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y19_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [21] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [14]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [14] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0 .lut_mask = 64'hBB0ABB0ABB5FBB5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y16_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~43_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X77_Y18_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~0 .lut_mask = 64'h0000F0F00F0FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout = SUM(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 = CARRY(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85 .lut_mask = 64'h0000C69300000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X77_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~0_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~1 .lut_mask = 64'h00550C5D00553F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2_combout ))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux17~1_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3 .lut_mask = 64'h005D0055005D00D5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1 .lut_mask = 64'h0054FC543C6DCF6D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2 .lut_mask = 64'h3F3F3F3F3FFF3FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y21_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( \neorv32_top_inst|rdata_v~11_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( \neorv32_top_inst|rdata_v~11_combout & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( !\neorv32_top_inst|rdata_v~11_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( !\neorv32_top_inst|rdata_v~11_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout // )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .dataf(!\neorv32_top_inst|rdata_v~11_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~1 .lut_mask = 64'h0C8C0C0C3FBF3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y21_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y16_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [15]) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [15]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [15]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0 .lut_mask = 64'hFF0F0033FF0FFF33; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X77_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~0 .lut_mask = 64'h00CC33FF00CC33FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X75_Y20_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y18_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout = SUM(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~DUPLICATE_q ))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 = CARRY(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~DUPLICATE_q ))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15]~DUPLICATE_q ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5 .lut_mask = 64'h0000C69300000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y16_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~1 .lut_mask = 64'h050505CD053705FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2_combout ))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux16~1_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3 .lut_mask = 64'h003B0033003B00B3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y16_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~63 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~63_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32]~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32]~DUPLICATE_q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~63_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~63 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~63 .lut_mask = 64'h440F440F770F770F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~63 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y16_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~63_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y16_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~62 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~62_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~62_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~62 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~62 .lut_mask = 64'h00550055AAFFAAFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~62 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y16_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~62_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~60 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~60_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~60_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~60 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~60 .lut_mask = 64'h11111111BBBBBBBB; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~60 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y16_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~60_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y16_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30 .lut_mask = 64'h00550055FF55FF55; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y16_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y15_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28 .lut_mask = 64'h303030303F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y15_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y15_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26 .lut_mask = 64'h05050505AFAFAFAF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y15_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y15_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24 .lut_mask = 64'h0A0A0A0A5F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y15_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y15_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22 .lut_mask = 64'h00000F0FF0F0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y15_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [24] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [24] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20 .lut_mask = 64'h05050505AFAFAFAF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y16_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y16_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18 .lut_mask = 64'h00550055AAFFAAFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y16_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y16_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16 .lut_mask = 64'h000F000FFF0FFF0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y16_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14 .lut_mask = 64'h05050505AFAFAFAF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y16_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y16_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12 .lut_mask = 64'h00550055AAFFAAFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y16_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y16_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10 .lut_mask = 64'h0A0A0A0A5F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y16_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8 .lut_mask = 64'h0F000F000FFF0FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y15_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6_combout = // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [17])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]))) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [17]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6 .lut_mask = 64'h0C3F0C3F0C3F0C3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X71_Y15_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [16]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [16] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [16]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~0 .lut_mask = 64'h03030303F3F3F3F3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9 .lut_mask = 64'h0000FFFF0000396C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~0_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~0_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~0_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~0_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~1 .lut_mask = 64'h101010FF151515FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux45~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux45~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~6_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~6_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~6_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~6_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|rdata_v~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux45~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux45~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux45~0 .lut_mask = 64'h040F040F040FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux45~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y20_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux45~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y14_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y16_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [16]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~DUPLICATE_q )) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16]~DUPLICATE_q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [16]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0 .lut_mask = 64'hAAFFAAFF1B1B1B1B; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b // [15] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [16]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [16])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [16])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1 .lut_mask = 64'h335530503C5AF3F5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2 .lut_mask = 64'h7777777777FF77FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2_combout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~1_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux15~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3 .lut_mask = 64'h000C004000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y14_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b // [14] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]))) ) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [17] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17] // & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]) // # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1 .lut_mask = 64'h05363701F5C6F731; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y14_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2 .lut_mask = 64'h777777777F7F7F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y21_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux44~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux44~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~4_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~4_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~4_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~4_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|rdata_v~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux44~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux44~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux44~0 .lut_mask = 64'h020F020F020FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux44~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y21_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux44~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y13_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [17]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0 .lut_mask = 64'hF3F3F3F3505F505F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0 .lut_mask = 64'h0000000033333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X73_Y16_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1 .lut_mask = 64'h0F000F000F000F00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y15_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [17]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [17]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~2 .lut_mask = 64'h0303030300330033; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y18_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13 .lut_mask = 64'h0000FFFF0000396C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y16_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~2_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~2_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~2_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~3 .lut_mask = 64'h0F0F5F5F3F3F7F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout ))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~3_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~3_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3 .lut_mask = 64'h005D0055005D00D5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y16_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b // [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1 .lut_mask = 64'h323200FA6B6B5AAF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2 .lut_mask = 64'h0F0FFFFF3F3FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux43~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux43~0_combout = ( \neorv32_top_inst|rdata_v~41_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) # ( !\neorv32_top_inst|rdata_v~41_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( \neorv32_top_inst|rdata_v~41_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( !\neorv32_top_inst|rdata_v~41_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datae(!\neorv32_top_inst|rdata_v~41_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux43~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux43~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux43~0 .lut_mask = 64'h004F004F004FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux43~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y20_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux43~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [18]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [18]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0 .lut_mask = 64'hFF55FF55330F330F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y15_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~0 .lut_mask = 64'h0303030300330033; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~DUPLICATE_q ))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~DUPLICATE_q ))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17 .lut_mask = 64'h0000FFFF0000396C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y16_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~1 .lut_mask = 64'h1111FFFF1F1FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout ))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux13~1_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3 .lut_mask = 64'h005D0055005D00D5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] // ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0 .lut_mask = 64'h00000000CCCCCCCC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y18_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y14_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10 .lut_mask = 64'h00000F0F11111F1F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y14_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y14_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [0]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3 .lut_mask = 64'h0505050505FF05FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y12_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1 .lut_mask = 64'h005500550F5F0F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y12_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0 .lut_mask = 64'h003300330F3F0F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y12_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout )) ) // ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2 .lut_mask = 64'hA000A00000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y12_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & // ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [0]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4 .lut_mask = 64'hFFFF03FFFFFF57FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y12_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y14_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [15] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [15] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0 .lut_mask = 64'h050505FCFCFC05FC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y15_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y15_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y11_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129_sumout = SUM(( GND ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~126 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~126 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129_sumout ), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129 .lut_mask = 64'h0000FFFF00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y14_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129_sumout & // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0 .lut_mask = 64'h00000000CCCC0000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y14_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl [0] ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE_q // ) + ( !VCC )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl [0] ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE_q ) + // ( !VCC )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl [0]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1 .lut_mask = 64'h0000F0F0000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [14]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 // )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y14_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y14_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y14_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y11_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0 .lut_mask = 64'h111F111F111F111F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y17_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19] & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38 .lut_mask = 64'h0A000A005F555F55; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y15_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39 .lut_mask = 64'h050505050505FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y15_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y11_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~2 .lut_mask = 64'hFFFFFFFF00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y11_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~2_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y11_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [19]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [19] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [19]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2 .lut_mask = 64'h0000FF005555FF55; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y14_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0 .lut_mask = 64'h00000000000F000F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y11_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19])))) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1 .lut_mask = 64'hFA00FA00C800C800; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y11_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4 .lut_mask = 64'hFFFFFFFF3FFF7FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y11_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[19] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y15_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0 .lut_mask = 64'h000F000FFFAA000F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y13_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y13_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y13_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19] // & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0 .lut_mask = 64'h0C550F5F3F773F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y13_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y21_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux42~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux42~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~43_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~43_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~43_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~43_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|rdata_v~43_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux42~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux42~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux42~0 .lut_mask = 64'h002F002F002FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux42~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y21_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux42~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y16_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [19]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [19]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~DUPLICATE_q ))) ) // ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [19]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [19]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~DUPLICATE_q )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [19]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1 .lut_mask = 64'hA1F10151ABFB0B5B; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0 .lut_mask = 64'h0504F5C437C9F739; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y16_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~0 .lut_mask = 64'h0000303003033333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y18_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout = SUM(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 = CARRY(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]))))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21 .lut_mask = 64'h0000C69300000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y16_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~1 .lut_mask = 64'hFFAA0000F0A00000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y16_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux12~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2 .lut_mask = 64'hC404C404F7F7F737; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2_combout & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3 .lut_mask = 64'h007F0F0F00FF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y17_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~12 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~12 .lut_mask = 64'h0000F0F00F0FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~12 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y17_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y15_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20]))) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43 .lut_mask = 64'h000F000FCC0FCC0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y15_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44 .lut_mask = 64'h005500550F5F0F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y15_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y15_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [20] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [20]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4 .lut_mask = 64'h005500550055FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y13_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y13_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [4] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [4]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y13_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[20] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y13_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [20]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0 .lut_mask = 64'h0000333300003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y13_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y13_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2 .lut_mask = 64'h000055550F0F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y13_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout )))) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3 .lut_mask = 64'hCCC0CCC088808880; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y13_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4_combout ) // ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~5 .lut_mask = 64'hFFFFFFFF57FFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y13_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux41~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux41~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|rdata_v~45_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|rdata_v~45_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|rdata_v~45_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|rdata_v~45_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|rdata_v~45_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(!\neorv32_top_inst|rdata_v~45_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux41~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux41~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux41~0 .lut_mask = 64'h000F444F555F555F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux41~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y20_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux41~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [20] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [20] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~DUPLICATE_q ) ) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [20]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1 .lut_mask = 64'hA3A3000FA3A3F0FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y17_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0 .lut_mask = 64'h00325A6BFA32AF6B; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X74_Y19_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25 .lut_mask = 64'h0000FFFF0000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y16_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20])) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~0 .lut_mask = 64'h000000000F330F33; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y17_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~1 .lut_mask = 64'hEEEEE0E000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y17_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux11~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2 .lut_mask = 64'h80DDA2FF80D5A2F7; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2_combout & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3 .lut_mask = 64'h007F0F0F00FF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y17_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~11 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~11 .lut_mask = 64'h0000F0F00F0FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~11 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y17_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y17_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21]~DUPLICATE_q ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21]~DUPLICATE_q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48 .lut_mask = 64'h030303038B8B8B8B; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y15_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49 .lut_mask = 64'h005500550055FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y15_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[21] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y14_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [21] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4 .lut_mask = 64'h111111111111FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y11_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y11_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [21] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y11_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y11_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [5] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [5]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y13_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y11_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y11_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2 .lut_mask = 64'h000F000F555F555F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y11_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3 .lut_mask = 64'hEEE0EEE000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y11_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout // )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~5 .lut_mask = 64'hFFFFFFFF5FFF7FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y11_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y21_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux40~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux40~0_combout = ( \neorv32_top_inst|rdata_v~9_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) # ( !\neorv32_top_inst|rdata_v~9_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( \neorv32_top_inst|rdata_v~9_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( !\neorv32_top_inst|rdata_v~9_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datae(!\neorv32_top_inst|rdata_v~9_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux40~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux40~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux40~0 .lut_mask = 64'h020F020F020FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux40~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y21_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux40~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y14_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1 .lut_mask = 64'hC0C0F3F344774477; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y14_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0 .lut_mask = 64'h000EE0EE666BB6BB; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53]~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21])) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~0 .lut_mask = 64'h000000000F330F33; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y19_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y17_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21]~DUPLICATE_q ))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21]~DUPLICATE_q ))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29 .lut_mask = 64'h0000FFFF0000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE_q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~0_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~1 .lut_mask = 64'hF0F0F000C0C0C000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux10~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2 .lut_mask = 64'h88FF88DD0A5F0A5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3 .lut_mask = 64'h007F0F0F00FF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y19_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux11~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux11~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux11~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux11~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux11~0 .lut_mask = 64'h15151515D5D5D5D5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux11~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux11~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y21_N40 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y21_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux18~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux18~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13])) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13])) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13]), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux18~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux18~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux18~0 .lut_mask = 64'h00331D1DCCFF1D1D; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux18~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14_combout = ( \neorv32_top_inst|io_rden~combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux18~0_combout & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux18~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14 .lut_mask = 64'h0000000000330033; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y20_N25 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y20_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~7 ( // Equation(s): // \neorv32_top_inst|neorv32_sysinfo_inst|data_o~7_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~7 .lut_mask = 64'hAA00AA0000550055; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~8 ( // Equation(s): // \neorv32_top_inst|neorv32_sysinfo_inst|data_o~8_combout = ( \neorv32_top_inst|io_rden~combout & ( (\neorv32_top_inst|neorv32_sysinfo_inst|data_o~7_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o~7_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~8 .lut_mask = 64'h0000000000010001; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~8 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y20_N19 dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~8_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[13] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y20_N38 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[13] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y18_N43 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [13] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [13]) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [13] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [13]) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [13]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28 .lut_mask = 64'h00550055AAFFAAFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datae(!\neorv32_top_inst|io_rden~combout ), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y20_N31 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~21 ( // Equation(s): // \neorv32_top_inst|rdata_v~21_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [13] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [13] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [13]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [13]), .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [13]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~21 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~21 .lut_mask = 64'hF000F00000000000; defparam \neorv32_top_inst|rdata_v~21 .shared_arith = "off"; // synopsys translate_on // Location: M10K_X58_Y22_N0 cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 ( .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), .ena0(vcc), .ena1(vcc), .ena2(vcc), .ena3(vcc), .clr0(gnd), .clr1(gnd), .nerror(vcc), .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13], \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]}), .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portabyteenamasks(1'b1), .portbdatain(5'b00000), .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; // synopsys translate_on // Location: LABCELL_X53_Y22_N0 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~22 ( // Equation(s): // \neorv32_top_inst|rdata_v~22_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 & ( (!\neorv32_top_inst|rdata_v~21_combout ) # // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [13] & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) ) ) ) # ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 & ( (!\neorv32_top_inst|rdata_v~21_combout ) # ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [13] & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 & ( (!\neorv32_top_inst|rdata_v~21_combout ) # ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [13] & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [13]), .datab(!\neorv32_top_inst|rdata_v~21_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~22 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~22 .lut_mask = 64'hCDCDCDCDCDCDFFFF; defparam \neorv32_top_inst|rdata_v~22 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y22_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~22_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y22_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~feeder_combout = ( \neorv32_top_inst|rdata_v~22_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|rdata_v~22_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y22_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~feeder_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y22_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13]~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13]~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) ) // # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13]~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~5 .lut_mask = 64'h00003333CCCCFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~5 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y22_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~83 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~83_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~5_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~5_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~83_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~83 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~83 .lut_mask = 64'h2700270027FF27FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~83 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y21_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~84 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~84_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~83_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~1_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~83_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~1_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux78~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~83_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~84_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~84 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~84 .lut_mask = 64'h0088008855DD55DD; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~84 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y21_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~84_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y21_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal265~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal265~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [28] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal265~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal265~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal265~0 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal265~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y21_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal67~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal265~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal67~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal265~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0 .lut_mask = 64'h0001000100000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y21_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [27] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1 .lut_mask = 64'h0000000055555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y21_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[1] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y12_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y12_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y12_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [1]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [1]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [1]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1 .lut_mask = 64'hEEEE0000EE000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y14_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~158 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~158_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ) ) // ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~158_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~158 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~158 .lut_mask = 64'h333300003333FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~158 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y14_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~158_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~156 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~156_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]))) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~156_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~156 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~156 .lut_mask = 64'h030303038B8B8B8B; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~156 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~157 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~157_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~156_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~156_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~156_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~157_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~157 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~157 .lut_mask = 64'h0505373705053737; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~157 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y16_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~157_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[1] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y12_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y12_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [1]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [1]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ))) ) ) ) # // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [1]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [1]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [1]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2 .lut_mask = 64'hFFCCF0C0AA88A080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y16_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~153_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y14_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y12_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0 .lut_mask = 64'h00000F0F33333F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y12_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout // ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1]~DUPLICATE_q )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout // ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1]~DUPLICATE_q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3 .lut_mask = 64'hEEEEEFEFFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y12_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y14_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [16]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1] // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata // [1]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0 .lut_mask = 64'h111F111AFF1FAA1A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y16_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~153 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~153_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [1]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] // & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [1]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [1])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [1]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~153_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~153 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~153 .lut_mask = 64'h0050A0F00F5FAFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~153 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y16_N29 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~153_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y16_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] // & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] // & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] // & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0 .lut_mask = 64'h111FB1BF111F111F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y16_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X70_Y20_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~38 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~38_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~38_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~38 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~38 .lut_mask = 64'h505050505F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~38 .shared_arith = "off"; // synopsys translate_on // Location: FF_X70_Y20_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~38_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y19_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] // & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] ) ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1 .lut_mask = 64'h000000FFFFFF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout = ( \neorv32_top_inst|rdata_v~13_combout & ( \neorv32_top_inst|rdata_v~11_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) ) # // ( !\neorv32_top_inst|rdata_v~13_combout & ( \neorv32_top_inst|rdata_v~11_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) ) # ( // \neorv32_top_inst|rdata_v~13_combout & ( !\neorv32_top_inst|rdata_v~11_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), .datae(!\neorv32_top_inst|rdata_v~13_combout ), .dataf(!\neorv32_top_inst|rdata_v~11_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0 .lut_mask = 64'h000005050A0A0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y21_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux39~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux39~0_combout = ( \neorv32_top_inst|rdata_v~38_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) # ( !\neorv32_top_inst|rdata_v~38_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( \neorv32_top_inst|rdata_v~38_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( !\neorv32_top_inst|rdata_v~38_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datae(!\neorv32_top_inst|rdata_v~38_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux39~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux39~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux39~0 .lut_mask = 64'h002F002F002FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux39~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y21_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux39~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X70_Y15_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1 .lut_mask = 64'hCC00FF3347474747; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y15_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [22]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [22])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [22])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0 .lut_mask = 64'h1D1D1DE21D00FF1D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X72_Y15_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X77_Y15_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE_q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE_q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~0 .lut_mask = 64'h000000000C0C3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33 .lut_mask = 64'h0000FFFF0000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y15_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22]~DUPLICATE_q ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22]~DUPLICATE_q ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~1 .lut_mask = 64'hE0E0E0E0E0E00000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y15_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux9~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2 .lut_mask = 64'hD511D5DDD111D1DD; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y15_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2_combout & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3 .lut_mask = 64'h15550F0F55550F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux15~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux15~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux15~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux15~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux15~0 .lut_mask = 64'h5533553333333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux15~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux15~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y21_N2 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y22_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux22~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux22~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux22~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux22~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux22~0 .lut_mask = 64'h330F330F550055FF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux22~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux22~0_combout & ( // \neorv32_top_inst|io_rden~combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|io_rden~combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux22~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y20_N32 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y19_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[9]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[9]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[9]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[9]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[9]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y19_N17 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[9]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[9] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y19_N32 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~36 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~36_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [9])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [9]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [9]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [9]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~36_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~36 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~36 .lut_mask = 64'h2277227722772277; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~36 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y19_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~37 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~37_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~36_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~36_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~37_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~37 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~37 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y19_N40 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~37_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y20_N39 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~26 ( // Equation(s): // \neorv32_top_inst|rdata_v~26_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [9] ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [9] ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( // !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [9] & ( (((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [9])) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [9]) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [9] & ( // ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 )) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [9]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [9]), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [9]), .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [9]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~26 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~26 .lut_mask = 64'h0F3F5F7FFFFFFFFF; defparam \neorv32_top_inst|rdata_v~26 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y21_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~26_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9] .power_up = "low"; // synopsys translate_on // Location: FF_X57_Y21_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~26_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y21_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9]~q ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9]~q ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7 .lut_mask = 64'h3333333300FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y21_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~q ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9 .lut_mask = 64'h04150415AEBFAEBF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~2 .lut_mask = 64'h4400440044044404; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y19_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~2_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53 .lut_mask = 64'h0C1D3F1D0C1D3F1D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~0 .lut_mask = 64'h3322332233203320; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~1_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~1 .lut_mask = 64'h0040004011511151; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~54 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~54_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~1_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~1_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~2_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux90~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~54_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~54 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~54 .lut_mask = 64'h150015FFFF00FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~54 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y20_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~54_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0 .lut_mask = 64'h8080000000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0 .lut_mask = 64'h1333000003000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y19_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y13_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y13_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [23]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [23]) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [23]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59 .lut_mask = 64'h222277770A0A5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y13_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y13_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout )))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec // [23])))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23]~DUPLICATE_q ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0 .lut_mask = 64'h085D0F5F3B7F3F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y13_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y21_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux38~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux38~0_combout = ( \neorv32_top_inst|rdata_v~32_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) # ( !\neorv32_top_inst|rdata_v~32_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( \neorv32_top_inst|rdata_v~32_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( !\neorv32_top_inst|rdata_v~32_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datae(!\neorv32_top_inst|rdata_v~32_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux38~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux38~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux38~0 .lut_mask = 64'h020F020F020FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux38~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y21_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux38~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y13_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23]~DUPLICATE_q )))) // ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23]~DUPLICATE_q ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [23] // & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [23] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23]~DUPLICATE_q ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [23]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1 .lut_mask = 64'h8901CD45AB23EF67; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23])))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0 .lut_mask = 64'h00325A79FA32F579; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y15_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55] .power_up = "low"; // synopsys translate_on // Location: FF_X73_Y16_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X78_Y16_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~DUPLICATE_q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~DUPLICATE_q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~0 .lut_mask = 64'h0000303000003F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y17_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37 .lut_mask = 64'h0000FFFF0000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y17_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout // ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE_q )))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE_q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~1 .lut_mask = 64'hFA00FA00C800C800; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux8~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2 .lut_mask = 64'hC5F54575C5F50535; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2_combout & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3 .lut_mask = 64'h15550F0F55550F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y11_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0 .lut_mask = 64'h000000FFCCC0CCF3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y13_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[24] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y12_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y12_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [8] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [8]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3 .lut_mask = 64'h030303030303FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y13_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y13_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0 .lut_mask = 64'h00000F0F55555F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y11_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24]))) ) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1 .lut_mask = 64'hFFAA0000CC880000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] // & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63 .lut_mask = 64'h303030300000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y15_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64 .lut_mask = 64'h00000F0F55555F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y15_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y11_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~4 .lut_mask = 64'hFFFFFFFF00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y11_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~4_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y11_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [24]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [24] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [24] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [24]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [24]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2 .lut_mask = 64'h0000F0F05555F5F5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y11_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout ) ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [24]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4 .lut_mask = 64'hFFFF37FFFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y11_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y21_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux37~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux37~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~25_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~25_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~25_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~25_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|rdata_v~25_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux37~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux37~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux37~0 .lut_mask = 64'h002F002F002FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux37~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y21_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux37~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X70_Y13_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [24] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [24] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1 .lut_mask = 64'hF0005533F0FF5533; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~0 .lut_mask = 64'h0000330000333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41 .lut_mask = 64'h0000FFFF0000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout // ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~1 .lut_mask = 64'hF0C0F0C0A080A080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y17_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] // $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0 .lut_mask = 64'h003C5479FCF35479; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux7~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2 .lut_mask = 64'h8D0D8D05AF2FAF27; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2_combout & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3 .lut_mask = 64'h007F0F0F00FF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux10~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux10~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux10~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux10~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux10~0 .lut_mask = 64'h4477447700FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux10~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux10~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y23_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y23_N13 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y21_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux17~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux17~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]))))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux17~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux17~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux17~0 .lut_mask = 64'h1103DD0311CFDDCF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux17~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y21_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & ( \neorv32_top_inst|io_rden~combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux17~0_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux17~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y21_N13 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y16_N37 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y20_N47 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y20_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [14])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [14]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [14]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [14]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16 .lut_mask = 64'h0A5F0A5F0A5F0A5F; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|io_rden~combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y20_N23 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~14 ( // Equation(s): // \neorv32_top_inst|rdata_v~14_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [14] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [14] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [14]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [14]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [14]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~14 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~14 .lut_mask = 64'hF000F00000000000; defparam \neorv32_top_inst|rdata_v~14 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~15 ( // Equation(s): // \neorv32_top_inst|rdata_v~15_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 & ( ((!\neorv32_top_inst|rdata_v~14_combout ) # // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [14]))) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 & ( (!\neorv32_top_inst|rdata_v~14_combout ) # ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [14])) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [14]), .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datad(!\neorv32_top_inst|rdata_v~14_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~15 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~15 .lut_mask = 64'hFF11FF11FF1FFF1F; defparam \neorv32_top_inst|rdata_v~15 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y19_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~15_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14] .power_up = "low"; // synopsys translate_on // Location: FF_X56_Y19_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~15_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y19_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14]~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14]~q ) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14]~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14]~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2 .lut_mask = 64'h00550055AAFFAAFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y19_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~74 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~74_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~74_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~74 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~74 .lut_mask = 64'h220A220A775F775F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~74 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0 .lut_mask = 64'h0A5A0A5A0F5F0F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1 .lut_mask = 64'h00FF22770A5F2277; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~75 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~75_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~74_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~74_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~74_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~75_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~75 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~75 .lut_mask = 64'h003300330C3F0C3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~75 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y21_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~75_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y13_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0 .lut_mask = 64'h0555055555555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y13_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1 .lut_mask = 64'h0400040000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y13_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0 .lut_mask = 64'h00000000FFAAFFAA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y13_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0 .lut_mask = 64'h0000000000000004; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y15_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25])) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68 .lut_mask = 64'h05050505F505F505; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y15_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69 .lut_mask = 64'h000F000F333F333F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y15_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y13_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [25]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4 .lut_mask = 64'h0303030303FF03FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y13_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y13_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0 .lut_mask = 64'h000000000000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y13_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[9] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [9] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [9]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y11_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y13_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25]~DUPLICATE_q // & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout // & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2 .lut_mask = 64'h000033330F0F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y13_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25])))) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3 .lut_mask = 64'hF0C0F0C0A080A080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y13_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~5 .lut_mask = 64'hFFFFFFFF57FFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y13_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y21_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux36~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux36~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~28_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~28_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~28_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~28_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|rdata_v~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux36~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux36~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux36~0 .lut_mask = 64'h020F020F020FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux36~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y21_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux36~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y14_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [25] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [25] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1 .lut_mask = 64'hC0C04477F3F34477; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y15_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0 .lut_mask = 64'h0302F3A256ABA6FB; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y15_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~0 .lut_mask = 64'h000A000A005F005F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y19_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y17_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25]~DUPLICATE_q ))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25]~DUPLICATE_q ))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45 .lut_mask = 64'h0000FFFF0000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout // ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q )))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~1 .lut_mask = 64'hFA00FA00C800C800; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux6~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2 .lut_mask = 64'h8CBF0F0F88BB0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2_combout & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3 .lut_mask = 64'h007F0F0F00FF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y19_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y18_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6] ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25 .lut_mask = 64'h5555555533333333; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~4 .lut_mask = 64'h0FFD0110B9402EFF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~4 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y19_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~10 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~10_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~10 .lut_mask = 64'h00A1A0001A202120; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~10 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~5 .lut_mask = 64'h00612ACF754CA3B6; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~5 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~3 .lut_mask = 64'h4202108008800081; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~6_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~3_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~4_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~3_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout // & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~4_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~5_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~3_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout // & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~10_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~3_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~10_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~4_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~10_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~5_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~3_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~6 .lut_mask = 64'h30303F3F505F505F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~6 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~8 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~8_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~6_combout & ( // ((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~7_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~6_combout & ( // (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~7_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~7_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~8 .lut_mask = 64'h00F000F033F333F3; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~8 .shared_arith = "off"; // synopsys translate_on // Location: FF_X52_Y19_N31 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~8_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[15] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y19_N35 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[15] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y17_N20 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y19_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [15] & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [15] ) ) # ( // !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [15] & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [15] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) # ( // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [15] & ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [15] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [15]), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [15]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12 .lut_mask = 64'h00000F0FF0F0FFFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y22_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y22_N2 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y22_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux16~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux16~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15])) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux16~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux16~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux16~0 .lut_mask = 64'h0F330F33550055FF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux16~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y22_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6_combout = ( \neorv32_top_inst|io_rden~combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux16~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux16~0_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6 .lut_mask = 64'h0000000003030303; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y22_N32 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y22_N33 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~10 ( // Equation(s): // \neorv32_top_inst|rdata_v~10_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [15] & ( (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [15] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]) ) ) .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [15]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [15]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~10 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~10 .lut_mask = 64'hA0A0A0A000000000; defparam \neorv32_top_inst|rdata_v~10 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y22_N54 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~11 ( // Equation(s): // \neorv32_top_inst|rdata_v~11_combout = ( \neorv32_top_inst|rdata_v~10_combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [15] & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( // !\neorv32_top_inst|rdata_v~10_combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 ) ) # ( \neorv32_top_inst|rdata_v~10_combout & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [15] & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|rdata_v~10_combout & ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 ) ) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [15]), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(gnd), .datae(!\neorv32_top_inst|rdata_v~10_combout ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~11 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~11 .lut_mask = 64'hFFFF0303FFFF5757; defparam \neorv32_top_inst|rdata_v~11 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y21_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout = ( \neorv32_top_inst|rdata_v~13_combout & ( \neorv32_top_inst|rdata_v~30_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]) # (\neorv32_top_inst|rdata_v~11_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])) # // (\neorv32_top_inst|rdata_v~32_combout ))) ) ) ) # ( !\neorv32_top_inst|rdata_v~13_combout & ( \neorv32_top_inst|rdata_v~30_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]) # (\neorv32_top_inst|rdata_v~11_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (\neorv32_top_inst|rdata_v~32_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])))) ) ) ) # ( \neorv32_top_inst|rdata_v~13_combout & ( !\neorv32_top_inst|rdata_v~30_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & // (((\neorv32_top_inst|rdata_v~11_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])) # // (\neorv32_top_inst|rdata_v~32_combout ))) ) ) ) # ( !\neorv32_top_inst|rdata_v~13_combout & ( !\neorv32_top_inst|rdata_v~30_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (((\neorv32_top_inst|rdata_v~11_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (\neorv32_top_inst|rdata_v~32_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])))) ) ) ) .dataa(!\neorv32_top_inst|rdata_v~32_combout ), .datab(!\neorv32_top_inst|rdata_v~11_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .datae(!\neorv32_top_inst|rdata_v~13_combout ), .dataf(!\neorv32_top_inst|rdata_v~30_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0 .lut_mask = 64'h0530053FF530F53F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y21_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux35~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux35~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~20_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~20_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~20_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~20_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|rdata_v~20_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux35~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux35~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux35~0 .lut_mask = 64'h045504550455FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux35~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y21_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux35~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y14_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [26]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [26]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1 .lut_mask = 64'h8B8B00338B8BCCFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26])))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0 .lut_mask = 64'h00325A79FA32F579; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y15_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~0 .lut_mask = 64'h0101010123232323; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49 .lut_mask = 64'h0000FFFF0000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout // ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~1 .lut_mask = 64'hFC00FC00A800A800; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux5~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2 .lut_mask = 64'h8CBF0F0F88BB0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2_combout & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3 .lut_mask = 64'h007F0F0F00FF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata // [27]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0 .lut_mask = 64'h00003333FF00AA33; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y13_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[11] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y13_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [11] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [11]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y15_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27] // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78 .lut_mask = 64'h000F000FFF0F000F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y15_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79 .lut_mask = 64'h111111111F1F1F1F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y15_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y15_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [27]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [27]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [27]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [27]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4 .lut_mask = 64'h050505050505FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y13_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y11_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y12_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27]) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) // ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2 .lut_mask = 64'h000F000F555F555F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y12_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27])))) // ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3 .lut_mask = 64'hFAC8FAC800000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y15_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~5 .lut_mask = 64'hFFFFFFFF00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y15_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~5_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y15_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [27] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [27]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0 .lut_mask = 64'h00000000FF00FF00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y15_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout ) ) // ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~5 .lut_mask = 64'hFFFF777FFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y15_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y21_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux34~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux34~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~18_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~18_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~18_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~18_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|rdata_v~18_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux34~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux34~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux34~0 .lut_mask = 64'h002F002F002FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux34~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y21_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux34~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [27]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1 .lut_mask = 64'hAA330F00AA330FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] // & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [27])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o // [27]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0 .lut_mask = 64'h0A5F084C3B7FC693; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y15_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~0 .lut_mask = 64'h0033003303030303; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y16_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27] .power_up = "low"; // synopsys translate_on // Location: FF_X74_Y19_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y17_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53 .lut_mask = 64'h0000FFFF0000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y17_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout // & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~0_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout // ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~1 .lut_mask = 64'hAAAA8888A0A08080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~1_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux4~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2 .lut_mask = 64'hA0FF303FA0AF303F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2_combout & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3 .lut_mask = 64'h007F0F0F00FF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~18 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [27]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~18 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~18 .lut_mask = 64'h00330033CCFFCCFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~18 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y17_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_NEW_REG55 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_OTERM56 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_NEW_REG55 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_NEW_REG55 .power_up = "low"; // synopsys translate_on // Location: FF_X66_Y16_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_NEW_REG57 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_OTERM58 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_NEW_REG57 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_NEW_REG57 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y15_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_OTERM58 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_OTERM56 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_OTERM58 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_OTERM56 ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_OTERM58 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_OTERM56 ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]_OTERM58 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24 .lut_mask = 64'h0000FFFF55555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~24 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y14_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec // [28])))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28]) ) // ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28]), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0 .lut_mask = 64'h0C550F5F3F773F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y14_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux33~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux33~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout & ( // ((\neorv32_top_inst|rdata_v~35_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout & ( ((\neorv32_top_inst|rdata_v~35_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (((\neorv32_top_inst|rdata_v~35_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12]) # ((\neorv32_top_inst|rdata_v~35_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout & ( (\neorv32_top_inst|rdata_v~35_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|rdata_v~35_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux33~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux33~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux33~0 .lut_mask = 64'h000F444F555F555F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux33~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y20_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux33~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1 .lut_mask = 64'hA0A003F3AFAF03F3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y15_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] // $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0 .lut_mask = 64'h060606EDEDED06ED; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X77_Y15_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60]~DUPLICATE_q ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60]~DUPLICATE_q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60]~DUPLICATE_q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~0 .lut_mask = 64'h0000000050505F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57 .lut_mask = 64'h0000FFFF0000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout // ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~1 .lut_mask = 64'hA8A8A8A8A800A800; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux3~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2 .lut_mask = 64'h80CFB0FF808FB0BF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2_combout & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3 .lut_mask = 64'h007F0F0F00FF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y18_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9] ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9] ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36 .lut_mask = 64'h333333330F0F0F0F; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y19_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0 .lut_mask = 64'h00000000C000C000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1 .lut_mask = 64'h000000000000C0C0; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~1 .lut_mask = 64'h001C0D40D000D284; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~5 .lut_mask = 64'h4280180500222800; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~0 .lut_mask = 64'h0001000228020240; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~2 .lut_mask = 64'h7C0018C20C021008; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~2_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~5_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~1_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~2_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~5_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~1_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~5_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~3 .lut_mask = 64'h33550F0033550FFF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~4_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~3_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~3_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~4 .lut_mask = 64'h000F000F555F555F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X51_Y20_N25 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux6~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[25] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout // & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20 .lut_mask = 64'h0000000005050505; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_RESYN295 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_RESYN295_BDD296 = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & ( // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_RESYN295_BDD296 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_RESYN295 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_RESYN295 .lut_mask = 64'h00000000000F000F; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_RESYN295 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout & ( // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_RESYN295_BDD296 & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout ))) ) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_RESYN295_BDD296 & ( // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout ), .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_RESYN295_BDD296 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0 .lut_mask = 64'h0000000003000200; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0 .shared_arith = "off"; // synopsys translate_on // Location: M10K_X49_Y20_N0 cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 ( .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), .ena0(vcc), .ena1(vcc), .ena2(vcc), .ena3(vcc), .clr0(gnd), .clr1(gnd), .nerror(vcc), .portadatain({gnd,gnd,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]}), .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portabyteenamasks(1'b1), .portbdatain(5'b00000), .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; // synopsys translate_on // Location: LABCELL_X63_Y23_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux6~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux6~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25] ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25]), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux6~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux6~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux6~0 .lut_mask = 64'h5555333300FF0F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux6~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y23_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & ( \neorv32_top_inst|io_rden~combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux6~0_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux6~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y23_N32 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[25] .power_up = "low"; // synopsys translate_on // Location: FF_X57_Y18_N49 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[25] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y20_N14 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~38 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~38_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [25])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [25]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [25]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [25]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~38_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~38 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~38 .lut_mask = 64'h0A5F0A5F0A5F0A5F; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~38 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~39 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~39_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~38_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~38_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~39_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~39 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~39 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~39 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y20_N55 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~39_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y21_N27 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~27 ( // Equation(s): // \neorv32_top_inst|rdata_v~27_combout = ( !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [25] & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [25]) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [25]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [25]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~27 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~27 .lut_mask = 64'hA0A0A0A000000000; defparam \neorv32_top_inst|rdata_v~27 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y21_N21 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~28 ( // Equation(s): // \neorv32_top_inst|rdata_v~28_combout = ( \neorv32_top_inst|rdata_v~27_combout & ( (!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [25] & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ))) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [25] & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 ))) ) ) # ( !\neorv32_top_inst|rdata_v~27_combout ) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [25]), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 ), .datae(gnd), .dataf(!\neorv32_top_inst|rdata_v~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~28 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~28 .lut_mask = 64'hFFFFFFFF03570357; defparam \neorv32_top_inst|rdata_v~28 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y21_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~28_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y21_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~76 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~76_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9]~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9]~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9]~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~q ))) ) // ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9]~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~76_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~76 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~76 .lut_mask = 64'h0202A2A25757F7F7; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~76 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~1 .lut_mask = 64'h500050005FFF5FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~0 .lut_mask = 64'h303130313F3B3F3B; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~1_combout )))) ) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~1_combout ))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~1_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~1_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~2 .lut_mask = 64'h5457505354575C5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~77 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~77_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~76_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~76_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~76_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~76_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~76_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux82~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~77_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~77 .lut_mask = 64'h1111111DDDDD111D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~77 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y21_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~77_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y19_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0 .lut_mask = 64'h8080000000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y19_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~1 .lut_mask = 64'h0000101000001010; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y20_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7 .lut_mask = 64'hCFCFCFCF00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y16_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1 .lut_mask = 64'h8888888880808080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0 .lut_mask = 64'h1010101010FF10FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y19_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y19_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0 .lut_mask = 64'h0F1F0F1F1F1F1F1F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y19_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X70_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b // [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0 .lut_mask = 64'h121212F9F912F9F9; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y21_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux32~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux32~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~23_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|rdata_v~23_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~23_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|rdata_v~23_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .dataf(!\neorv32_top_inst|rdata_v~23_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux32~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux32~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux32~0 .lut_mask = 64'h054505450545FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux32~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y21_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux32~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1 .lut_mask = 64'hF0003355F0FF3355; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y16_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~0 .lut_mask = 64'h03000300030F030F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y17_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117 .lut_mask = 64'h0000FFFF0000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout // ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~1 .lut_mask = 64'hF0C0F0C0A080A080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux2~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2 .lut_mask = 64'h8DAF00FF8D8D00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2_combout & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3 .lut_mask = 64'h15550F0F55550F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y19_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[28] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y21_N47 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux3~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux3~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux3~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux3~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux3~0 .lut_mask = 64'h33330F0F555500FF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux3~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux3~0_combout & ( \neorv32_top_inst|io_rden~combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux3~0_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y20_N2 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[28] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y19_N1 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[28] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y19_N35 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y19_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~46 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~46_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [28])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [28]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [28]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [28]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~46_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~46 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~46 .lut_mask = 64'h0A5F0A5F0A5F0A5F; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~46 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~47 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~47_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~46_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~46_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~47_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~47 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~47 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~47 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y19_N19 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~47_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X51_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~1 .lut_mask = 64'h2259661305422080; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~5 .lut_mask = 64'hC880049108806580; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~4 .lut_mask = 64'h0210080220002150; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~0 .lut_mask = 64'h8600A0E00DC1615C; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~4_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~1_combout ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~5_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~5_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~4_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~2 .lut_mask = 64'h333300FF55550F0F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~3_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~2_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~2_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~2_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~3 .lut_mask = 64'h0505050505FF05FF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X52_Y20_N25 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux3~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y20_N45 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~35 ( // Equation(s): // \neorv32_top_inst|rdata_v~35_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [28] & ( // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [28])) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [28]) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [28] & ( ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [28])) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [28]) ) ) ) # ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [28] & ( ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [28]) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [28]) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [28] & ( (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [28]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [28]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [28]), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [28]), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~35_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~35 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~35 .lut_mask = 64'h5F5F7F7F5FFF7FFF; defparam \neorv32_top_inst|rdata_v~35 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y20_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~35_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y20_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~35_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y22_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~feeder_combout = ( \neorv32_top_inst|rdata_v~34_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|rdata_v~34_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y22_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~feeder_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12] .power_up = "low"; // synopsys translate_on // Location: FF_X57_Y22_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~34_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y22_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12]~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12]~q & // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~q ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q // & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12]~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13 .lut_mask = 64'h555555550000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q )) ) // ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q & // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) ) ) // ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11 .lut_mask = 64'h10101515BABABFBF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y21_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0 .lut_mask = 64'h51515D51515D5D5D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y21_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux25~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux25~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux25~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux25~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux25~0 .lut_mask = 64'h0003000300000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux25~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y21_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux25~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux25~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux25~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux25~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux25~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1 .lut_mask = 64'h00AA05AF00AA11BB; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y20_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~85 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~85_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q ))) ) // ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~13_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~85_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~85 .lut_mask = 64'h0A000AAA5F555FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~85 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y21_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~86 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~86_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~85_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~85_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~85_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~86_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~86 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~86 .lut_mask = 64'h00A000A055F555F5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~86 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y21_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~86_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[28] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~2 .lut_mask = 64'h0000000088008800; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]) ) // ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12 .lut_mask = 64'h00F000F030F030F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y19_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y16_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0])) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1 .lut_mask = 64'h0C000C000C000C00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y16_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y16_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0 .lut_mask = 64'hC4CCC4CCCCCCCCCC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y16_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~168 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~168_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [30])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q // & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [30]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [30]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~168_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~168 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~168 .lut_mask = 64'h043704378CBF8CBF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~168 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y16_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~168_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y15_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30])))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117_sumout )))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0 .lut_mask = 64'h083B0F3F5D7F5F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y15_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux31~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux31~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // (\neorv32_top_inst|rdata_v~16_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ))) # (\neorv32_top_inst|rdata_v~16_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datad(!\neorv32_top_inst|rdata_v~16_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux31~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux31~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux31~0 .lut_mask = 64'h0404555504FF55FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux31~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y19_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux31~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y16_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [30] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [30]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [30]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [30])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [30]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1 .lut_mask = 64'hCC1D001DFF1D331D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0 .lut_mask = 64'h1110BBB01EF1B4FB; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X77_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~0 .lut_mask = 64'h0000444400007777; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout = SUM(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 = CARRY(( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]))))) ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121 .lut_mask = 64'h0000FFFF0000596A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~0_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~0_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~1 .lut_mask = 64'hF0F0A0A0C0C08080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~1_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout ))))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux1~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2 .lut_mask = 64'hA030FF3FA030AF3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y16_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2_combout & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3 .lut_mask = 64'h007F0F0F00FF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y18_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38 .lut_mask = 64'h00003333CCCCFFFF; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~0 .lut_mask = 64'h04220084B04A2180; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y18_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~1 .lut_mask = 64'h2274CA418B268042; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~3 .lut_mask = 64'h8B640DF05454474B; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~2 .lut_mask = 64'h8920338AA04E12E4; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~3_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~2_combout // & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~1_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~3_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~2_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~0_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~3_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~4 .lut_mask = 64'h5050303F5F5F303F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~5 .lut_mask = 64'h000000003C003C00; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~6_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~4_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~5_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~4_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~5_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout )) ) ) ) # // ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~4_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~5_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~4_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~6 .lut_mask = 64'h0000404000504050; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y18_N25 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux23~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[8] .power_up = "low"; // synopsys translate_on // Location: FF_X56_Y18_N20 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[8] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y19_N59 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y19_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~32 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~32_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [8] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) # ( // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [8] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [8] ) ) ) # ( // !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [8] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [8] ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [8]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [8]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~32 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~32 .lut_mask = 64'h0F0F0F0F0000FFFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~32 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~33 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~33_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~32_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~32_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~33_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~33 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~33 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y19_N49 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~33_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y22_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux23~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux23~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux23~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux23~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux23~0 .lut_mask = 64'h0C3F0C3F44447777; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux23~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y22_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux23~0_combout & ( (\neorv32_top_inst|io_rden~combout & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|io_rden~combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux23~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16 .lut_mask = 64'h00000000000F000F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y22_N22 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y19_N57 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~24 ( // Equation(s): // \neorv32_top_inst|rdata_v~24_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [8] ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [8] ) ) # ( // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [8] & ( // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [8])) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [8])) # // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [8] & ( ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [8])) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [8]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [8]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [8]), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [8]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~24 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~24 .lut_mask = 64'h03FF57FFFFFFFFFF; defparam \neorv32_top_inst|rdata_v~24 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~feeder_combout = ( \neorv32_top_inst|rdata_v~24_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|rdata_v~24_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y20_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~feeder_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y20_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~24_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][8]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][8] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][8]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][8]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~6 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~6 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y20_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~71 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~71_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~6_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~q )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~6_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~q ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~71_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~71 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~71 .lut_mask = 64'h4700470047FF47FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~71 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y20_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~1_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~1 .lut_mask = 64'h0F0F0F0F0A0A0A0A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~1 .lut_mask = 64'h2220323022203730; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout = // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1 .lut_mask = 64'h000F000F000F000F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~0 .lut_mask = 64'h4440440045404500; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~71_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~1_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~71_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~71_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~1_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~71_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~71_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux83~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72 .lut_mask = 64'h05C535F505C5F5F5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y20_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X70_Y21_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux13~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux13~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux13~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux13~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux13~0 .lut_mask = 64'h05AF05AF0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux13~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X70_Y21_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux13~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[11]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[11]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11] .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[11]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[11]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[11]~feeder .lut_mask = 64'h3333333333333333; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[11]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y20_N56 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[11]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[11] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y20_N2 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [11])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [11]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [11]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [11]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20 .lut_mask = 64'h0A5F0A5F0A5F0A5F; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y20_N52 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X50_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~7 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~7_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~7 .lut_mask = 64'h0F000F600F001E44; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~6_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~6 .lut_mask = 64'h010F802400080080; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~4 .lut_mask = 64'h2100014420800028; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~5 .lut_mask = 64'h0080A22100081080; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~1_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~4_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~5_combout & ( ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~7_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~6_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~4_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~5_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~7_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~6_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~4_combout // & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~5_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~7_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~6_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~4_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~5_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~7_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~6_combout ))))) ) ) // ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~7_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~6_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~4_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~1 .lut_mask = 64'h5300530F53F053FF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y17_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~2 .lut_mask = 64'h0A000A00A0A0A0A0; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~3_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~2_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~1_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~2_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~1_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~1_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~3 .lut_mask = 64'h0303030303FF03FF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X51_Y17_N31 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y22_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux20~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux20~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux20~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux20~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux20~0 .lut_mask = 64'h0F000FFF55335533; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux20~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux20~0_combout & ( \neorv32_top_inst|io_rden~combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux20~0_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y21_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11]~feeder_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y21_N31 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11]~feeder_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y21_N57 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~17 ( // Equation(s): // \neorv32_top_inst|rdata_v~17_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [11] ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [11] ) ) # ( // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [11] & ( // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [11])) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [11])) # // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [11] & ( ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [11])) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [11]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [11]), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [11]), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [11]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~17 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~17 .lut_mask = 64'h333F777FFFFFFFFF; defparam \neorv32_top_inst|rdata_v~17 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y21_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~17_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11] .power_up = "low"; // synopsys translate_on // Location: FF_X56_Y21_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~17_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y21_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11]~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11]~q & // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11]~q ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q // & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11]~q ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11]~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11]~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3 .lut_mask = 64'h0F0F0F0F0000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y21_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE_q ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE_q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE_q ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE_q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5 .lut_mask = 64'h0500050FF5F0F5FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y22_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0 .lut_mask = 64'h000000000F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y20_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68 .lut_mask = 64'h0000000002000200; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69 .lut_mask = 64'h0444044414541454; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y21_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~32_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7] .power_up = "low"; // synopsys translate_on // Location: FF_X57_Y21_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~32_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7] .power_up = "low"; // synopsys translate_on // Location: FF_X56_Y21_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~30_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7] .power_up = "low"; // synopsys translate_on // Location: FF_X56_Y21_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~30_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y21_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~8 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7]~q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~8 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~8 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y21_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~66 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~66_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~8_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~8_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~8_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~66_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~66 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~66 .lut_mask = 64'h028A028A57DF57DF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~66 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64 .lut_mask = 64'h5555555500000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67 .lut_mask = 64'h00FF00FF004F004F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~66_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~66_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~66_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~66_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~66_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70 .lut_mask = 64'h08883BBBCCCCFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y20_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X70_Y21_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0 .lut_mask = 64'h2222222277777777; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X70_Y21_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[27] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y21_N50 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[27] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y21_N22 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux4~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux4~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux4~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux4~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux4~0 .lut_mask = 64'h330F330F0055FF55; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux4~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y21_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11_combout = ( \neorv32_top_inst|io_rden~combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux4~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux4~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11 .lut_mask = 64'h0000000000550055; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y21_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27]~feeder_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y21_N34 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27]~feeder_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~1 .lut_mask = 64'h07D2118040100286; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~5 .lut_mask = 64'h08004000A4404605; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~4 .lut_mask = 64'h0024010000006000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y18_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~0 .lut_mask = 64'h0A1066019E00050C; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~2_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~0_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~5_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~4_combout ))) ) ) ) # // ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~5_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~4_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~5_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~4_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~2 .lut_mask = 64'h303F303F0505F5F5; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~2_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~2_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout // & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~2_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & // ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~2_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~3 .lut_mask = 64'h03030303030303AB; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X52_Y18_N31 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux4~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[27] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y20_N7 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[27] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y20_N5 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [27])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [27]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [27]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [27]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22 .lut_mask = 64'h0A5F0A5F0A5F0A5F; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y20_N50 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[27] .power_up = "low"; // synopsys translate_on // Location: M10K_X58_Y20_N0 cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 ( .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), .ena0(vcc), .ena1(vcc), .ena2(vcc), .ena3(vcc), .clr0(gnd), .clr1(gnd), .nerror(vcc), .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29], \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]}), .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portabyteenamasks(1'b1), .portbdatain(5'b00000), .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout , \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 11; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 5; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 2047; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 2048; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; // synopsys translate_on // Location: LABCELL_X57_Y21_N57 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~18 ( // Equation(s): // \neorv32_top_inst|rdata_v~18_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [27] & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 ) ) # ( // !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [27] & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 & ( // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [27] & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [27]) ) ) ) # ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [27] & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [27] & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 & ( ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [27] & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [27]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [27]), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [27]), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [27]), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~18 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~18 .lut_mask = 64'h5757FFFF57FFFFFF; defparam \neorv32_top_inst|rdata_v~18 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y21_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~18_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y21_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~81 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~81_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~81_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~81 .lut_mask = 64'h082A082A5D7F5D7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~81 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y21_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~93 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~93_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~81_combout ))))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~81_combout ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~81_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~93_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~93 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~93 .lut_mask = 64'h0055005502570257; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~93 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~0 .lut_mask = 64'h03CF02CE03CF13DF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y21_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout )))))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~0_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~1 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~1 .lut_mask = 64'h0A1B0A5F4E5F0A5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y21_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~82 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~82_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~93_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~93_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~93_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~1_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~93_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~93_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux80~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~82_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~82 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~82 .lut_mask = 64'h0F4F0F0F0F4F0FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~82 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y21_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~82_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y14_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5 .lut_mask = 64'h00000000000C000C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y12_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0 .lut_mask = 64'h003300330F3F0F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y15_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [14]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [14]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [14]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1 .lut_mask = 64'hFAFAC8C800000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y18_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y17_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~118 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~118_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14])) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~118_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~118 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~118 .lut_mask = 64'h03030303F303F303; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~118 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y17_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~119 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~119_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~118_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~118_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~118_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~119_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~119 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~119 .lut_mask = 64'h0303030357575757; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~119 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y17_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~119_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y15_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [14]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [14]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [14]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [14]), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2 .lut_mask = 64'h111111111111FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y15_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y15_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y15_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~115 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~115_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last // [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q // & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~115_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~115 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~115 .lut_mask = 64'h0303CF0303CFCFCF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~115 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y15_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~115_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y15_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y15_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ) # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout // )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14] & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout // )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3 .lut_mask = 64'hAAFFBBFFAFFFBFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y15_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14])))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0 .lut_mask = 64'h000F000FA8ADA8AD; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y15_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[14] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y15_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~115_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y15_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y15_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec // [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14]~DUPLICATE_q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0 .lut_mask = 64'h0C3F0F3F55775F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y15_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y18_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_NEW_REG113 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_OTERM114 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_NEW_REG113 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_NEW_REG113 .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y18_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_NEW_REG111 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_OTERM112 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_NEW_REG111 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_NEW_REG111 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_OTERM112 & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_OTERM114 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_OTERM112 & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_OTERM114 ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_OTERM114 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]_OTERM112 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30 .lut_mask = 64'h00CC00CC33FF33FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y17_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector22~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|Equal0~9_RESYN175 ( // Equation(s): // \neorv32_top_inst|Equal0~9_RESYN175_BDD176 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|Equal0~9_RESYN175_BDD176 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|Equal0~9_RESYN175 .extended_lut = "off"; defparam \neorv32_top_inst|Equal0~9_RESYN175 .lut_mask = 64'h00000000000F000F; defparam \neorv32_top_inst|Equal0~9_RESYN175 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|Equal0~9_RESYN173 ( // Equation(s): // \neorv32_top_inst|Equal0~9_RESYN173_BDD174 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|Equal0~9_RESYN173_BDD174 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|Equal0~9_RESYN173 .extended_lut = "off"; defparam \neorv32_top_inst|Equal0~9_RESYN173 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|Equal0~9_RESYN173 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|Equal0~9 ( // Equation(s): // \neorv32_top_inst|Equal0~9_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|Equal0~9_RESYN173_BDD174 ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( // \neorv32_top_inst|Equal0~9_RESYN173_BDD174 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10] & (\neorv32_top_inst|Equal0~9_RESYN175_BDD176 & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc // [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|Equal0~9_RESYN173_BDD174 & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10] & (\neorv32_top_inst|Equal0~9_RESYN175_BDD176 & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10]), .datab(!\neorv32_top_inst|Equal0~9_RESYN175_BDD176 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .dataf(!\neorv32_top_inst|Equal0~9_RESYN173_BDD174 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|Equal0~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|Equal0~9 .extended_lut = "off"; defparam \neorv32_top_inst|Equal0~9 .lut_mask = 64'h000100000001FFFF; defparam \neorv32_top_inst|Equal0~9 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y17_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] & // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2]), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0 .lut_mask = 64'h0003000350535053; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & ( (\neorv32_top_inst|Equal0~9_combout & (\neorv32_top_inst|Equal0~11_combout & (\neorv32_top_inst|Equal0~0_combout & \neorv32_top_inst|io_wren~1_combout ))) ) ) ) .dataa(!\neorv32_top_inst|Equal0~9_combout ), .datab(!\neorv32_top_inst|Equal0~11_combout ), .datac(!\neorv32_top_inst|Equal0~0_combout ), .datad(!\neorv32_top_inst|io_wren~1_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y22_N20 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y22_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux21~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux21~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux21~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux21~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux21~0 .lut_mask = 64'h0311CF1103DDCFDD; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux21~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux21~0_combout & ( (\neorv32_top_inst|io_rden~combout & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|io_rden~combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux21~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12 .lut_mask = 64'h00000000000F000F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y20_N14 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[10] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y20_N23 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[10] .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y19_N19 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [10] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [10]) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [10] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [10]) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [10]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [10]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24 .lut_mask = 64'h00550055AAFFAAFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y20_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y20_N10 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[10] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~0 .lut_mask = 64'h2A2A2A2AA0A0A0A0; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~4 .lut_mask = 64'h11C825214012D17E; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~1 .lut_mask = 64'h01EF555889ABF01F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~2 .lut_mask = 64'hFB03A84EFC2044C4; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~3 .lut_mask = 64'h0A210A445789E0D1; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~5_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~2_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~3_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~1_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~4_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~2_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~3_combout // & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~1_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~4_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~2_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~3_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~4_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~2_combout // & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~3_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~1_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~4_combout ) # // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~4_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~1_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~2_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~5 .lut_mask = 64'h3EFE0ECE32F202C2; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~5 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~6_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2_combout & ( // (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~0_combout ))) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~0_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~5_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~5_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~5_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~6 .lut_mask = 64'h0055005503570357; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X52_Y20_N49 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux21~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y20_N57 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~19 ( // Equation(s): // \neorv32_top_inst|rdata_v~19_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout & ( // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [10])) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [10])) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [10]) ) ) ) # ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout & ( (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [10])) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [10])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [10]) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout & ( // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [10])) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [10])) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [10]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [10]), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [10]), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [10]), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~19 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~19 .lut_mask = 64'h3F7F3F7F3F7FFFFF; defparam \neorv32_top_inst|rdata_v~19 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y20_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~19_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y20_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~19_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10]~q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~87 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~87_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~87_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~87 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~87 .lut_mask = 64'h05330533F533F533; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~87 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~88 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~88_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~88_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~88 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~88 .lut_mask = 64'h0045004500440044; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~88 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux14~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89 .lut_mask = 64'h3303330333533353; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90 .lut_mask = 64'h00F00AFA00F00BFB; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~92 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~92_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~88_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~87_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~88_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~87_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~88_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~87_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~88_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~87_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~87_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~88_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~92_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~92 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~92 .lut_mask = 64'h0A5F8ADF8ADF8ADF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~92 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y22_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~92_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X71_Y21_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0 .lut_mask = 64'h0000000000000020; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1 .lut_mask = 64'h0000000000110000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout ) // ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3 .lut_mask = 64'hAAAAAAAAAA00AA00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y19_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]) ) // ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4 .lut_mask = 64'h0000AAFF0000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y19_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_NEW_REG6 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM7 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_NEW_REG6 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_NEW_REG6 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1] // & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1 .lut_mask = 64'h00000000F5770C03; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [4] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0 .lut_mask = 64'h050D050D0F0D0F0D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5_RTM011 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5_RTM011_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout & // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5_RTM011_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5_RTM011 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5_RTM011 .lut_mask = 64'h5F570F035F575F57; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5_RTM011 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y20_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_NEW_REG9 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5_RTM011_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM10 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_NEW_REG9 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_NEW_REG9 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y20_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2 .lut_mask = 64'h5555555500000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y20_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_NEW_REG4 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM5 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_NEW_REG4 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_NEW_REG4 .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y18_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG13 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG13 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG13 .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y18_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_NEW_REG33 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM34 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_NEW_REG33 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_NEW_REG33 .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y18_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM3_NEW_REG171 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM3_OTERM172 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM3_NEW_REG171 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM3_NEW_REG171 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM3_OTERM172 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM34 & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM16 ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM3_OTERM172 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM34 ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM16 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM34 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM3_OTERM172 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1 .lut_mask = 64'h000F000000B40000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0 .lut_mask = 64'h00000000F0F0F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y20_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_NEW_REG0 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM1 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_NEW_REG0 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_NEW_REG0 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y20_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM1 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [23] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM1 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM1 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM1 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM5 )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM10 )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM7 ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM7 ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM10 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM5 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM1 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6 .lut_mask = 64'h7FFFFFFFFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0 .lut_mask = 64'h0333033303FF03FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y18_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0 .lut_mask = 64'h1F1F1F1FFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y19_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_NEW_REG139 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_OTERM140 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_NEW_REG139 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_NEW_REG139 .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y15_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_NEW_REG141 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_OTERM142 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_NEW_REG141 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_NEW_REG141 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y15_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_OTERM140 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_OTERM142 ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_OTERM140 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_OTERM142 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_OTERM140 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_OTERM142 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_OTERM140 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]_OTERM142 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10 .lut_mask = 64'h000000FFFF00FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y17_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector28~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y17_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector27~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector27~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109_sumout & ( ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9]) ) ) # // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector27~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector27~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector27~0 .lut_mask = 64'h00DF00DF20FF20FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector27~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y17_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector27~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~35_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector26~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector26~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93_sumout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector26~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector26~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector26~0 .lut_mask = 64'h00BF00BF40FF40FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector26~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y17_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector26~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector25~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector25~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] & ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # // ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81_sumout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] & ( // !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81_sumout & // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81_sumout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector25~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector25~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector25~0 .lut_mask = 64'h0500AFFF0000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector25~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y17_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector25~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~28_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y18_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector24~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector24~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12] ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85_sumout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12])))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85_sumout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector24~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector24~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector24~0 .lut_mask = 64'h02F702F700FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector24~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y18_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector24~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~29_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector23~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector23~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector23~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector23~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector23~0 .lut_mask = 64'h0000AFFF5000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector23~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y17_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector23~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~34_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector22~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector22~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89_sumout ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14] & ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89_sumout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89_sumout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector22~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector22~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector22~0 .lut_mask = 64'h0404BFBF0000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector22~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y17_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector22~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~30_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector21~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector21~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout & ( ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15]) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector21~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector21~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector21~0 .lut_mask = 64'h00DF00DF20FF20FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector21~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y17_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector21~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~33_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y17_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector20~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector20~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97_sumout )) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]))))) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16])))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16] ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97_sumout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector20~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector20~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector20~0 .lut_mask = 64'h00FF00FF407F407F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector20~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y17_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector20~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~32_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y17_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector19~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector19~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] & ( // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29_sumout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29_sumout & // (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29_sumout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector19~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector19~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector19~0 .lut_mask = 64'h0040FF7F0040FF7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector19~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y17_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector19~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector18~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector18~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18] ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25_sumout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25_sumout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector18~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector18~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector18~0 .lut_mask = 64'h04F704F700FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector18~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y17_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector18~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector17~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector17~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # // (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21_sumout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19] & ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21_sumout & (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21_sumout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector17~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector17~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector17~0 .lut_mask = 64'h0404F7F70000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector17~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y17_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector17~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector16~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector16~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector16~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector16~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector16~0 .lut_mask = 64'h00BF00BF40FF40FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector16~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y17_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector16~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y17_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector15~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector15~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21] & ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21] & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21] & ( // !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout & (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector15~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector15~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector15~0 .lut_mask = 64'h0050FF5F0000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector15~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y17_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector15~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y16_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y16_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector14~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector14~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33_sumout & ( ((!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector14~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector14~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector14~0 .lut_mask = 64'h00DF00DF20FF20FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector14~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y16_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector14~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y16_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~54 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector13~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector13~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23] ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53_sumout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23])))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53_sumout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector13~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector13~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector13~0 .lut_mask = 64'h02DF02DF00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector13~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y16_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector13~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~54 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~54 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~54 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y16_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector12~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector12~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & // ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector12~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector12~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector12~0 .lut_mask = 64'h0000FFFF08087F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector12~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y16_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector12~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y16_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~46 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y16_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector11~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector11~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45_sumout ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & // ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45_sumout )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45_sumout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector11~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector11~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector11~0 .lut_mask = 64'h0000FFFF08087F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector11~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y16_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector11~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~46 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~46 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~46 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y16_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector10~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector10~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout & ( ((!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector10~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector10~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector10~0 .lut_mask = 64'h00F700F708FF08FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector10~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y16_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector10~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y16_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector9~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector9~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69_sumout & ( ((!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector9~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector9~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector9~0 .lut_mask = 64'h00F700F708FF08FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector9~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y16_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector9~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~25_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y16_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN189 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN189_BDD190 = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29]~DUPLICATE_q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN189_BDD190 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN189 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN189 .lut_mask = 64'h8000800000000000; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN189 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y17_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN187 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN187_BDD188 = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN187_BDD188 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN187 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN187 .lut_mask = 64'hA000000000000000; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN187 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14]~DUPLICATE_q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5 .lut_mask = 64'hAA00AA00C0C0C0C0; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~34_combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~33_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN189_BDD190 )) # // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN187_BDD188 ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN189_BDD190 ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~33_combout ), .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_RESYN187_BDD188 ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~34_combout ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6 .lut_mask = 64'h00000000440C0000; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_RESYN289 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_RESYN289_BDD290 = (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout & // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout )) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ), .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_RESYN289_BDD290 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_RESYN289 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_RESYN289 .lut_mask = 64'h0101010101010101; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_RESYN289 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout & ( // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_RESYN289_BDD290 & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout ))) ) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_RESYN289_BDD290 & ( // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout ), .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~7_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_RESYN289_BDD290 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0 .lut_mask = 64'h0000000000300020; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~5 .lut_mask = 64'h00C000C003CF03CF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~1 .lut_mask = 64'h14A3A1202B322E7C; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~2 .lut_mask = 64'h2211B47D0202862A; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~3 .lut_mask = 64'h9A9AAE1D841E1024; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~0 .lut_mask = 64'h9199B2391711223D; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~4_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~3_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~3_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~1_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~3_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~0_combout // & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~2_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~1_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~3_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~1_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~2_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~3_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~4 .lut_mask = 64'h51734062D9FBC8EA; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~6_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~5_combout ) # ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~4_combout )) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~4_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~5_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~4_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~6 .lut_mask = 64'h00550055F0F5F0F5; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X50_Y19_N49 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux26~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y16_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[5]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[5]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[5]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[5]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[5]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y16_N22 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[5]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[5] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y20_N41 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y20_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [5])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [5]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [5]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [5]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8 .lut_mask = 64'h0A5F0A5F0A5F0A5F; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|io_rden~combout ), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y20_N16 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y21_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux26~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux26~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5] ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5] ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5]), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux26~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux26~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux26~0 .lut_mask = 64'h0F0F555500FF3333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux26~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y21_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4_combout = (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & (\neorv32_top_inst|io_rden~combout & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux26~0_combout )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datab(!\neorv32_top_inst|io_rden~combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux26~0_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4 .lut_mask = 64'h0011001100110011; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y21_N58 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[5] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y21_N15 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~7 ( // Equation(s): // \neorv32_top_inst|rdata_v~7_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [5] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [5] ) ) # ( // !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [5] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [5] ) ) # ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [5] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [5] ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [5] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [5] & ( // (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 & // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ))) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & // (((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [5]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 ), .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [5]), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [5]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [5]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~7 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~7 .lut_mask = 64'h0357FFFFFFFFFFFF; defparam \neorv32_top_inst|rdata_v~7 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y22_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~7_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y22_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~7_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y22_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5]~q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y22_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~9_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y22_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0 .lut_mask = 64'h5353535350505F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~9 .lut_mask = 64'hCCDD8080DDDD8080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~9 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~9_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~10_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~9_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~10_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~10_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~9_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11 .lut_mask = 64'h707F707F303F303F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y22_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[5] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0 .lut_mask = 64'h000F000F00000000; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0 .lut_mask = 64'h0FFF0FFF05550555; defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N56 dffeas \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( ((\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q & // \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q & \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o .lut_mask = 64'h0303030303FF03FF; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y18_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20 .lut_mask = 64'h0000000000550055; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y21_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux27~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux27~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux27~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux27~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux27~0 .lut_mask = 64'h53535353000FF0FF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux27~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y20_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux27~0_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & // \neorv32_top_inst|io_rden~combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|io_rden~combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux27~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30 .lut_mask = 64'h0000000000550055; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y20_N11 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[4] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y17_N28 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[4] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y19_N47 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y19_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~60 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~60_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [4])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [4]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [4]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [4]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~60_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~60 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~60 .lut_mask = 64'h0A5F0A5F0A5F0A5F; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~60 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~61 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~61_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~60_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~60_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~61_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~61 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~61 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y19_N25 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~61_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X51_Y18_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~0 .lut_mask = 64'h00000000F5AAF5AA; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~2 .lut_mask = 64'h5A65633B950400C5; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~4 .lut_mask = 64'hC6E53AA684B7816C; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~3 .lut_mask = 64'h1B27792296898ACA; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~1 .lut_mask = 64'h1022312110300230; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~5_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~3_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~2_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~4_combout ))))) ) // ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~3_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout // & (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) # (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~4_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~3_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // ((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~2_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~4_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~3_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) # // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~2_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // ((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~4_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~4_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~3_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~5 .lut_mask = 64'hFDF8ADA85D580D08; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~6_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~5_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~5_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~5_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~6 .lut_mask = 64'h000F000F333F333F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X51_Y18_N7 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux27~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y20_N27 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~46 ( // Equation(s): // \neorv32_top_inst|rdata_v~46_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [4] & ( // (((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4]) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4])) # // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [4] & ( ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4]) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4]) ) ) ) # ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [4] & ( // ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4])) # // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [4] & ( (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4]), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4]), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~46_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~46 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~46 .lut_mask = 64'h33FF77FF3FFF7FFF; defparam \neorv32_top_inst|rdata_v~46 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y20_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~46_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4] .power_up = "low"; // synopsys translate_on // Location: FF_X56_Y20_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~46_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y20_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~18 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~18_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4]~q ))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4]~q ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~18 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~18 .lut_mask = 64'h0A5F0A5F0A5F0A5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~18 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~18_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~18_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~18_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14 .lut_mask = 64'h02070207F2F7F2F7; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux85~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux85~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux85~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux85~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux85~0 .lut_mask = 64'hD9D9D9D900000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux85~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~101 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~101_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux85~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux85~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout )))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux85~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux85~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux85~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~101_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~101 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~101 .lut_mask = 64'h4747547447474474; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~101 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y19_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~38_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6] .power_up = "low"; // synopsys translate_on // Location: FF_X57_Y19_N56 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~38_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~15 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6]~q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~15 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~15 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~15 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~15_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~14_combout )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~101_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~14_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~101_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~15_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65 .lut_mask = 64'h0F0F0F0F11BB11BB; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y20_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X70_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~2 .lut_mask = 64'h0C0C0C0C3F3F3F3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y20_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~2_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y23_N50 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y23_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux10~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux10~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & // ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21]~DUPLICATE_q & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21])) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux10~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux10~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux10~0 .lut_mask = 64'h0F330F33550055FF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux10~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y22_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5_combout = ( \neorv32_top_inst|io_rden~combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux10~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux10~0_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5 .lut_mask = 64'h0000000003030303; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y22_N44 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[21] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y20_N29 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[21] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y18_N46 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [21] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [21]) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [21] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [21]) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10 .lut_mask = 64'h00550055AAFFAAFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y22_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y22_N26 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y22_N45 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~8 ( // Equation(s): // \neorv32_top_inst|rdata_v~8_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [21] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [21] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [21]), .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~8 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~8 .lut_mask = 64'hF000F00000000000; defparam \neorv32_top_inst|rdata_v~8 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y16_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~4 .lut_mask = 64'h0104001008200210; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~4 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~6_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~6 .lut_mask = 64'h00000024010100D0; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y16_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~0 .lut_mask = 64'hD028009813424681; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~5_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~5 .lut_mask = 64'h0010008401080000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~5 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~1_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~5_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~4_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~5_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout // & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~4_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~5_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout // & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~6_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~5_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~6_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~4_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~6_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~0_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~5_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~1 .lut_mask = 64'h0C0C3F3F44774477; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y16_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~2 .lut_mask = 64'h0500050005500550; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~3_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~2_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~2_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~1_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~3 .lut_mask = 64'h000F000F333F333F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X52_Y16_N49 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux10~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X53_Y22_N33 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~9 ( // Equation(s): // \neorv32_top_inst|rdata_v~9_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 & ( (!\neorv32_top_inst|rdata_v~8_combout ) # // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [21] & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) ) ) ) # ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 & ( (!\neorv32_top_inst|rdata_v~8_combout ) # ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [21] & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 & ( (!\neorv32_top_inst|rdata_v~8_combout ) # ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [21] & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) ) ) ) .dataa(!\neorv32_top_inst|rdata_v~8_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [21]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~9 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~9 .lut_mask = 64'hAABBAABBAABBFFFF; defparam \neorv32_top_inst|rdata_v~9 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y21_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~9_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y22_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62 .lut_mask = 64'h227722770A5F0A5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~63 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~63_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~63_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~63 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~63 .lut_mask = 64'h27EB27EB27AB27AB; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~63 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~105 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~105_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~63_combout ))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~63_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~105_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~105 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~105 .lut_mask = 64'h00050F0F33370F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~105 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y21_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~105_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X70_Y21_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~7 .lut_mask = 64'h00AA00AA55FF55FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~7 .shared_arith = "off"; // synopsys translate_on // Location: FF_X70_Y21_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o~7_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y20_N44 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[20] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y16_N49 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~58 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~58_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [20] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [20]) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [20] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [20]) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [20]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [20]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~58_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~58 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~58 .lut_mask = 64'h00550055AAFFAAFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~58 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y20_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~59 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~59_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~58_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|io_rden~combout ), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~58_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~59_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~59 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~59 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~59 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y20_N4 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~59_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y23_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux11~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux11~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20]~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20]), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux11~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux11~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux11~0 .lut_mask = 64'h0303CFCF44774477; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux11~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y23_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & ( \neorv32_top_inst|io_rden~combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux11~0_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux11~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y23_N52 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~44 ( // Equation(s): // \neorv32_top_inst|rdata_v~44_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [20] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [20]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [20]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [20]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~44_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~44 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~44 .lut_mask = 64'hF000F00000000000; defparam \neorv32_top_inst|rdata_v~44 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y16_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~3 .lut_mask = 64'h05A005A0050A050A; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~0 .lut_mask = 64'h4074203240A71C88; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y16_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~6_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))))) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~6 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~6 .lut_mask = 64'h0808031000004082; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~5 .lut_mask = 64'h3D3238480D001400; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~5 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y16_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~1 .lut_mask = 64'h96C10B6A106B5072; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y16_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~6_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~5_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~1_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~6_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~5_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~0_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~6_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~5_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~2 .lut_mask = 64'h303F5050303F5F5F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~4_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~2_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~3_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~2_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~3_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~4 .lut_mask = 64'h000F000F333F333F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y16_N13 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux11~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~45 ( // Equation(s): // \neorv32_top_inst|rdata_v~45_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 & ( (!\neorv32_top_inst|rdata_v~44_combout ) # // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [20])) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 & ( (!\neorv32_top_inst|rdata_v~44_combout ) # ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [20])) ) ) .dataa(!\neorv32_top_inst|rdata_v~44_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [20]), .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~45_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~45 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~45 .lut_mask = 64'hABABABABABFFABFF; defparam \neorv32_top_inst|rdata_v~45 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y20_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~45_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y20_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~18_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~18_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~18_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60 .lut_mask = 64'h207020702F7F2F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~4 .lut_mask = 64'h000000000CCC0CCC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~5_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~4_combout )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~4_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~5 .lut_mask = 64'h0000000000C000C0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y22_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0 .lut_mask = 64'h000000000000F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~3 .lut_mask = 64'hAF9DAF9D33003300; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~6_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~5_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~3_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~5_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~5_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~6 .lut_mask = 64'h0F5F0F5F00550055; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~6 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2 .lut_mask = 64'h0000030200000300; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y20_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~61 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~61_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~6_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~6_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~61_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~61 .lut_mask = 64'h05AF05AFAFAFAFAF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~61 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y20_N34 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~61_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X65_Y17_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19 .lut_mask = 64'h7777555511110000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y17_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18 .lut_mask = 64'h0C008C08CFCCEFCE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1 .lut_mask = 64'h8421000000008421; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11 .lut_mask = 64'h50D0F5FD0040F0F4; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12 .lut_mask = 64'hFFFFAA2800000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y21_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN179 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN179_BDD180 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN179_BDD180 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN179 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN179 .lut_mask = 64'hA050A0500A050A05; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN179 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y21_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN177 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN177_BDD178 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN177_BDD178 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN177 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN177 .lut_mask = 64'h8040201008040201; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN177 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN179_BDD180 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN177_BDD178 & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN179_BDD180 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_RESYN177_BDD178 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0 .lut_mask = 64'h0000000000008421; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y17_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN181 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN181_BDD182 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN181_BDD182 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN181 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN181 .lut_mask = 64'h8020080240100401; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN181 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN183 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN183_BDD184 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN183_BDD184 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN183 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN183 .lut_mask = 64'hC030C0300C030C03; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN183 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y17_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN183_BDD184 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN181_BDD182 & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN183_BDD184 & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN181_BDD182 & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN181_BDD182 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_RESYN183_BDD184 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1 .lut_mask = 64'h0000000000900009; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y21_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9 .lut_mask = 64'h5DFF04FF005D0004; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y21_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17 .lut_mask = 64'h30F330F300F000F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y21_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15])) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16 .lut_mask = 64'h3BBF02233B3B0202; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y21_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0 .lut_mask = 64'h8008400420021001; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y21_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10 .lut_mask = 64'hCCCCCCCCC0C04080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14 .lut_mask = 64'h0CCF0C0C8ECF0C8E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y19_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15 .lut_mask = 64'h44FF44FF00440044; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7 .lut_mask = 64'h00AA80EAA0FAA8FE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2 .lut_mask = 64'h9000009009000009; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8 .lut_mask = 64'hFF00FF00D000E000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12 .lut_mask = 64'h9900990000990099; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2 .lut_mask = 64'h0000000009000009; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3 .lut_mask = 64'h8400210000840021; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5 .lut_mask = 64'h5DFF04FF005D0004; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN211 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN211_BDD212 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN211_BDD212 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN211 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN211 .lut_mask = 64'h0ACEFFFF00000ACE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN211 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN209 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN209_BDD210 = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN209_BDD210 ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN209 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN209 .lut_mask = 64'hAF0AAF0AAFAFAFAF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN209 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN209_BDD210 & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]) # // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN211_BDD212 )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN209_BDD210 & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN211_BDD212 ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN209_BDD210 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN211_BDD212 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_RESYN209_BDD210 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4 .lut_mask = 64'h0000CCCC08CCCEFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6 .lut_mask = 64'h0010333300313333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13 .lut_mask = 64'h5054505550545054; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y18_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG15 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM16 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG15 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG15 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y18_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4 .lut_mask = 64'h5500550000550055; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~2 .lut_mask = 64'h0000802000000802; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y18_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG21 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~2_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM22 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG21 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG21 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y18_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM34 & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM3_OTERM172 )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM34 & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM3_OTERM172 ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH_OTERM3_OTERM172 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM34 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~1 .lut_mask = 64'h0F030F03070F070F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE_RTM025 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE_RTM025~combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE_RTM025~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE_RTM025 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE_RTM025 .lut_mask = 64'hF0F0F0F0F0F0F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE_RTM025 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y18_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG23 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE_RTM025~combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM24 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG23 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG23 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM24 & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~1_combout ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM16 & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM22 )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM24 & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~1_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM16 & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM22 ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM16 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM22 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM24 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0 .lut_mask = 64'h00000000FF01FF0C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1 .lut_mask = 64'hFFFFFFFF00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y20_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]))) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] // & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4 .lut_mask = 64'h00080008C4CCC4CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y18_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[10] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y17_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector26~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~31_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y17_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10] & // \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24 .lut_mask = 64'h00550055FF55FF55; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~1 .lut_mask = 64'h000045081A08A0C0; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~3 .lut_mask = 64'h0000F57FE98F6806; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y19_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~0 .lut_mask = 64'h0040048E08106107; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~2 .lut_mask = 64'h3C20180466500010; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // ((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~3_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~2_combout // & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~1_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~3_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~3_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~4 .lut_mask = 64'h00CC747433FF7474; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~5_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~5 .lut_mask = 64'h000000CCC00C0000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~6_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout & (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~5_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~4_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout & (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~5_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~4_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~5_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~6 .lut_mask = 64'h0000222F00000000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y19_N37 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux8~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[23] .power_up = "low"; // synopsys translate_on // Location: FF_X57_Y18_N22 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[23] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y20_N32 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~42 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~42_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [23])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [23]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [23]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [23]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~42_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~42 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~42 .lut_mask = 64'h0A5F0A5F0A5F0A5F; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~42 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~43 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~43_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~42_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datae(!\neorv32_top_inst|io_rden~combout ), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~42_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~43_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~43 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~43 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~43 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y20_N49 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~43_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[23] .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y23_N34 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y23_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux8~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux8~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~DUPLICATE_q )) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE_q & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~DUPLICATE_q )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux8~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux8~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux8~0 .lut_mask = 64'h33550F0033550FFF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux8~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y23_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & ( \neorv32_top_inst|io_rden~combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux8~0_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux8~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y23_N37 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~31 ( // Equation(s): // \neorv32_top_inst|rdata_v~31_combout = (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [23] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [23])) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [23]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [23]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~31 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~31 .lut_mask = 64'hC000C000C000C000; defparam \neorv32_top_inst|rdata_v~31 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y21_N9 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~32 ( // Equation(s): // \neorv32_top_inst|rdata_v~32_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 & ( ((!\neorv32_top_inst|rdata_v~31_combout ) # // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [23] & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ))) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 & ( (!\neorv32_top_inst|rdata_v~31_combout ) # ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [23] & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) ) ) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [23]), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(!\neorv32_top_inst|rdata_v~31_combout ), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~32 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~32 .lut_mask = 64'hFF03FF57FF03FF57; defparam \neorv32_top_inst|rdata_v~32 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y21_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~32_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y21_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~8_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q )))) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~8_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q ))))) ) // ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~8_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10 .lut_mask = 64'h04150415AEBFAEBF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0 .lut_mask = 64'hC000C00000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout )))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1 .lut_mask = 64'h0032003200320032; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y23_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0 .lut_mask = 64'h00000000FFF0FFF0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2 .lut_mask = 64'h22221FBF00001FBF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~113 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~113_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~113_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~113 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~113 .lut_mask = 64'h1F110F0F11110F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~113 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y21_N8 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~113_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y18_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0 .lut_mask = 64'h003000303C3C3C3C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N22 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y16_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0 .lut_mask = 64'h00000000FFFF0000; defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y16_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q & ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q & ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q & ( // !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q & ( ((!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q & \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q & ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q & \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector1~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0 .lut_mask = 64'h00CC0FCFFFFFFFFF; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y16_N10 dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector1~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y18_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1 .lut_mask = 64'hAAAA000000000000; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE_q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE_q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0 .lut_mask = 64'hF500F50000000000; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector0~2 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|Selector0~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector0~1_combout & // (((!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q & !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q )) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector0~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector0~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~2 .lut_mask = 64'hAFAFAFAF8F008F00; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N32 dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector0~2_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q // & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE_q ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0 .lut_mask = 64'hA0F0000000000000; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y17_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~12 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~12_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~12 .lut_mask = 64'h000000000000F8FA; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~12 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y17_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~11 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~11_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21] & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21] ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~11 .lut_mask = 64'h00000000FFFF0455; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~11 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~13 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20] & // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20] & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~13 .lut_mask = 64'h0F000F000F030F0B; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~14 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~14_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~14 .lut_mask = 64'h000000000000EFAA; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~14 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y17_N18 cyclonev_lcell_comb \neorv32_top_inst|Equal0~1 ( // Equation(s): // \neorv32_top_inst|Equal0~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~14_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~10_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~11_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~12_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~14_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~10_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~13_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~11_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~12_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~14_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~10_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~9_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~11_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~12_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~14_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~10_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~9_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~13_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~11_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~12_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~12_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~9_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~11_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~13_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~14_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~10_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|Equal0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|Equal0~1 .extended_lut = "off"; defparam \neorv32_top_inst|Equal0~1 .lut_mask = 64'h00131313005F5F5F; defparam \neorv32_top_inst|Equal0~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|io_rden~0 ( // Equation(s): // \neorv32_top_inst|io_rden~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|io_rden~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|io_rden~0 .extended_lut = "off"; defparam \neorv32_top_inst|io_rden~0 .lut_mask = 64'h0F0F0F0F00000000; defparam \neorv32_top_inst|io_rden~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y17_N15 cyclonev_lcell_comb \neorv32_top_inst|io_rden ( // Equation(s): // \neorv32_top_inst|io_rden~combout = ( \neorv32_top_inst|io_rden~0_combout & ( \neorv32_top_inst|Equal0~9_combout & ( (\neorv32_top_inst|Equal0~1_combout & (\neorv32_top_inst|Equal0~2_combout & (\neorv32_top_inst|Equal0~0_combout & // \neorv32_top_inst|Equal0~6_combout ))) ) ) ) .dataa(!\neorv32_top_inst|Equal0~1_combout ), .datab(!\neorv32_top_inst|Equal0~2_combout ), .datac(!\neorv32_top_inst|Equal0~0_combout ), .datad(!\neorv32_top_inst|Equal0~6_combout ), .datae(!\neorv32_top_inst|io_rden~0_combout ), .dataf(!\neorv32_top_inst|Equal0~9_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|io_rden~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|io_rden .extended_lut = "off"; defparam \neorv32_top_inst|io_rden .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|io_rden .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y21_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux5~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux5~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux5~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux5~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux5~0 .lut_mask = 64'h0F0F5555333300FF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux5~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y21_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux5~0_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & // \neorv32_top_inst|io_rden~combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datab(!\neorv32_top_inst|io_rden~combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux5~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13 .lut_mask = 64'h0000000011111111; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y21_N29 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X53_Y17_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~9_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~9_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~0 .lut_mask = 64'h00000000AAAA0000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~4_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~4 .lut_mask = 64'h6666000022220000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~1_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~4_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~1 .lut_mask = 64'h0000000000040004; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~0 .lut_mask = 64'h18C3002907804141; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~6_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~6 .lut_mask = 64'h0000001108464004; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~1 .lut_mask = 64'h505A002004443000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~2 .lut_mask = 64'h2400424042842000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~3_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~1_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~2_combout // & ( ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~6_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~1_combout // & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~6_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~1_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~2_combout & // ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~6_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~1_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~6_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~6_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~1_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~3 .lut_mask = 64'h084C2A6E195D3B7F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~5_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~3_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~1_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~1_combout ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~1_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~3_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~5 .lut_mask = 64'h33333F3F33333F3F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X51_Y18_N13 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux5~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[26] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y18_N19 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[26] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y19_N47 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y19_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [26])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [26]))) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [26]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [26]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26 .lut_mask = 64'h0C3F0C3F0C3F0C3F; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y19_N13 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X53_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~20 ( // Equation(s): // \neorv32_top_inst|rdata_v~20_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [26] & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout ) ) # ( // !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [26] & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout & ( // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [26])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [26])) # // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [26] & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [26] & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout & ( ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [26])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [26]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [26]), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [26]), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [26]), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~20 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~20 .lut_mask = 64'h0F3FFFFF5F7FFFFF; defparam \neorv32_top_inst|rdata_v~20 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y20_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~20_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q ) ) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q & // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6 .lut_mask = 64'h0300030FF3F0F3FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~36 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~36_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~36_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~36 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~36 .lut_mask = 64'hFFCFFFCF00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~36 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~38 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~38_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~38_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~38 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~38 .lut_mask = 64'hF3C0F3C0F0C0F0C0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~38 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~40 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~40_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~38_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~37_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~36_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~38_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~37_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~36_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~39_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~37_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~36_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~38_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~40_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~40 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~40 .lut_mask = 64'h3033303375777577; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~40 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y21_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~40_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y20_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3 .lut_mask = 64'h505F555500000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y23_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0_combout = ( \neorv32_top_inst|io_wren~combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(gnd), .datae(!\neorv32_top_inst|io_wren~combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0 .lut_mask = 64'h000000000000A0A0; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y23_N44 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we .power_up = "low"; // synopsys translate_on // Location: FF_X63_Y22_N2 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N5 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N8 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 // )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N11 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N14 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N17 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 // )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N20 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 // )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N23 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N26 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N29 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N32 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N35 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N38 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N41 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N44 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N47 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N50 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 // )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N53 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 // )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N56 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y22_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 // )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y22_N59 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y21_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 // )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y21_N2 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y21_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y21_N5 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y21_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 // )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y21_N8 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y21_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y21_N10 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y21_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y21_N14 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y21_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y21_N17 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y21_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y21_N20 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y21_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y21_N23 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y21_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y21_N26 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y21_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y21_N29 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y21_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y21_N32 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y21_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y21_N35 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y21_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129_sumout = SUM(( GND ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129_sumout ), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129 .lut_mask = 64'h0000FFFF00000000; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y21_N37 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl [0] ) + ( !VCC // )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl [0] ) + ( !VCC )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl [0]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1 .lut_mask = 64'h0000F0F000005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N2 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 )) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5 .lut_mask = 64'h0000FFFF000000FF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N5 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N7 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N11 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N13 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N17 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 // )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N20 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 // )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N23 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N26 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N29 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N32 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N35 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N38 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N41 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~34 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~34 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N44 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~34 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~34 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~34 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N47 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N50 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 // )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N53 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N55 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y22_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y22_N59 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y21_N2 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y21_N5 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[21] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[21] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y21_N7 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[22] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[22] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[22] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y21_N11 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69 .lut_mask = 64'h0000FFFF00003333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y21_N14 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y21_N16 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26]~DUPLICATE_q ) + ( GND ) + ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y21_N20 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y21_N23 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[27] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[27] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y21_N26 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y21_N29 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 )) // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37_sumout ), .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y21_N31 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y21_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29_sumout ), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29 .lut_mask = 64'h0000FFFF00005555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y21_N35 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y23_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux0~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]))))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux0~0 .lut_mask = 64'h1103DD0311CFDDCF; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux0~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y23_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & ( \neorv32_top_inst|io_rden~combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux0~0_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux0~0_combout ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7 .lut_mask = 64'h0000000000003333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y23_N25 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3 ( // Equation(s): // \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3 .lut_mask = 64'h0000000000F000F0; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4 ( // Equation(s): // \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4_combout = ( \neorv32_top_inst|io_rden~combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout // & (\neorv32_top_inst|neorv32_sysinfo_inst|data_o~3_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o~3_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4 .lut_mask = 64'h0000000000010001; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y20_N16 dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[31] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y19_N8 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y19_N50 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [31] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [31]) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [31] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [31]) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [31]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14 .lut_mask = 64'h00550055AAFFAAFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y19_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y19_N29 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y19_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0_combout = ( \neorv32_top_inst|io_rden~combout & ( ((!\neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout & \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q )) # // (\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|io_rden~combout & ( ((\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q & ((!\neorv32_top_inst|io_wren~combout ) # // (!\neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout )))) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ) ) ) .dataa(!\neorv32_top_inst|io_wren~combout ), .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout ), .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ), .datae(gnd), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0 .lut_mask = 64'h0FEF0FEF0FCF0FCF; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y19_N29 dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout & ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y20_N55 dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~12 ( // Equation(s): // \neorv32_top_inst|rdata_v~12_combout = ( !\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [31] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [31] & (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [31] & // !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [31])) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [31]), .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [31]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [31]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~12 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~12 .lut_mask = 64'hC000C00000000000; defparam \neorv32_top_inst|rdata_v~12 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~1 .lut_mask = 64'h4608020442410230; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~6_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~6 .lut_mask = 64'h210208000A030821; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~5 .lut_mask = 64'h0004081001402000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X50_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~0 .lut_mask = 64'h8CC42A0988C2688E; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~2_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~5_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~0_combout // & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~6_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~1_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~5_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~6_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~1_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~5_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~6_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~5_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~6_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~1_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~1_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~6_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~5_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~2 .lut_mask = 64'h018945CD23AB67EF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y20_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~4_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~2_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~2_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~4 .lut_mask = 64'h000F000F555F555F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X51_Y20_N28 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux0~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~13 ( // Equation(s): // \neorv32_top_inst|rdata_v~13_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 & ( // (!\neorv32_top_inst|rdata_v~12_combout ) # ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [31]) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 & ( (!\neorv32_top_inst|rdata_v~12_combout ) # // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 & ( (!\neorv32_top_inst|rdata_v~12_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [31]) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 & ( !\neorv32_top_inst|rdata_v~12_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|rdata_v~12_combout ), .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [31]), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~13 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~13 .lut_mask = 64'hCCCCCCFFCFCFCFFF; defparam \neorv32_top_inst|rdata_v~13 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y20_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~13_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y22_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2 .lut_mask = 64'h05AF05AF00AA55FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X68_Y21_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0 .lut_mask = 64'h00000000F0F0F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~32 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~32_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~32 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~32 .lut_mask = 64'h0307030703030303; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~32 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30 .lut_mask = 64'h00F000F000300030; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~31 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~31_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~31 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~31 .lut_mask = 64'h0033003355335533; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~31 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~33 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~33_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~31_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~32_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~31_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~32_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~32_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~31_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~33_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~33 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~33 .lut_mask = 64'h08FF08FF58FF58FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~33 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y20_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~33_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[13] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y15_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata // [7]))))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0 .lut_mask = 64'h05050505EE05EE05; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y12_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y12_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout )))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec // [7]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0 .lut_mask = 64'h1D1D1F1F11FF1FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y12_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y19_N23 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_NEW_REG145 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_OTERM146 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_NEW_REG145 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_NEW_REG145 .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y19_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_NEW_REG143 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_OTERM144 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_NEW_REG143 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_NEW_REG143 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y19_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_OTERM144 & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_OTERM146 ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_OTERM144 & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_OTERM146 ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_OTERM146 ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]_OTERM144 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12 .lut_mask = 64'h00F000F00FFF0FFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y17_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector29~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7] ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7] ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26 .lut_mask = 64'h555555550000FFFF; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~3 .lut_mask = 64'hA0200300229020B6; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y16_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~4 .lut_mask = 64'h0F021044140A0001; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~4 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y16_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~2 .lut_mask = 64'hC0429031A6424065; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y17_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~5 .lut_mask = 64'h0922012002800050; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~5 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y16_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~6_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~5_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~5_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~2_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~4_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~5_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~3_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~5_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~2_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~4_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~3_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~4_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~2_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~6 .lut_mask = 64'h0F3355000F3355FF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~6 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~7 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~7_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~1_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout // ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~1_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~6_combout ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~1_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~6_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~1_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~7 .lut_mask = 64'h0000FFFF0F0FFFFF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~7 .shared_arith = "off"; // synopsys translate_on // Location: FF_X52_Y16_N7 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux2~7_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[29] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y19_N56 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[29]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[29]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[29]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[29]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[29]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[29]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y19_N14 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[29]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [29] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [29]) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [29] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [29]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [29]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30 .lut_mask = 64'h000F000FF0FFF0FF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y19_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y19_N23 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y23_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux2~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux2~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & // ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q )) // # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux2~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux2~0 .lut_mask = 64'h084C195D2A6E3B7F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux2~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y21_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux2~0_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & // \neorv32_top_inst|io_rden~combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datab(!\neorv32_top_inst|io_rden~combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux2~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15 .lut_mask = 64'h0000000011111111; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y21_N25 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[29] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y21_N21 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~23 ( // Equation(s): // \neorv32_top_inst|rdata_v~23_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [29] ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [29] ) ) # ( // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [29] & ( // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [29])) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [29])) # // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [29] & ( ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [29])) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [29]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [29]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [29]), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~23 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~23 .lut_mask = 64'h05FF37FFFFFFFFFF; defparam \neorv32_top_inst|rdata_v~23 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y21_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~23_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y22_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~5_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~5_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7 .lut_mask = 64'h02070207F2F7F2F7; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56 .lut_mask = 64'h0303030303000300; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux89~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux89~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ))))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux89~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux89~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux89~0 .lut_mask = 64'h551B551B55FF555F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux89~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y20_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~41_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~feeder_combout = ( \neorv32_top_inst|rdata_v~40_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|rdata_v~40_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y20_N19 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~feeder_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2] .power_up = "low"; // synopsys translate_on // Location: FF_X59_Y20_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~40_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y20_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~16 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~16 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~16 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~16 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~16_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~16_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~16_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55 .lut_mask = 64'h4700470047FF47FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~57 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~57_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux89~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux89~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux89~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux89~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~6_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~48_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~57_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~57 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~57 .lut_mask = 64'h440F440F440FFF0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~57 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y20_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~57_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y15_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0 .lut_mask = 64'h111011FEDDD0DDF2; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y15_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~175 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~175_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q )))))) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~140_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~175_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~175 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~175 .lut_mask = 64'h500053535F005353; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~175 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y15_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~175_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y15_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y15_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y15_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q // & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0 .lut_mask = 64'h0505050505FF05FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y15_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y15_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0 .lut_mask = 64'hFFFFF0F00015F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y15_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y15_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2 .lut_mask = 64'h0C000C0000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y15_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0 .lut_mask = 64'h000000000F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y15_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y19_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0 .lut_mask = 64'h000A000A00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[39]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[39]~1_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[39]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[39]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[39]~1 .lut_mask = 64'h0C000C000C000C00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[39]~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y19_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[39] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[39]~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[39] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[39] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y19_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0 .lut_mask = 64'hB8B8888830300000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y19_N5 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[18] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y19_N44 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~54 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~54_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [18])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [18]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [18]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [18]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~54_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~54 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~54 .lut_mask = 64'h0A5F0A5F0A5F0A5F; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~54 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y19_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~55 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~55_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~54_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~54_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~55_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~55 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~55 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~55 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y19_N16 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~55_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[18] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X52_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~5 .lut_mask = 64'h3CCC3CCC3C003C00; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~1 .lut_mask = 64'h1C5A4A4048034801; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~2 .lut_mask = 64'h8041B72009053A00; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~3 .lut_mask = 64'hE8E21B8583E02E5B; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~0 .lut_mask = 64'h1128551055B14106; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // ((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~3_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ) # (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~2_combout ) ) ) ) // # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ((!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~3_combout ))) ) ) // ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~2_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~3_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~4 .lut_mask = 64'h30307744FCFC7744; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~4 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~6_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~4_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~5_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~4_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~5_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~5_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~6 .lut_mask = 64'h005500550F5F0F5F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X52_Y18_N40 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux13~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y22_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux13~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux13~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18])) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux13~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux13~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux13~0 .lut_mask = 64'h550055FF0F330F33; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux13~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux13~0_combout & ( // \neorv32_top_inst|io_rden~combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|io_rden~combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux13~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y20_N13 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [18]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[18] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[18] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y20_N45 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~41 ( // Equation(s): // \neorv32_top_inst|rdata_v~41_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [18] ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [18] ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [18] & ( (((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout )) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [18])) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [18]) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [18] & ( // ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout )) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [18]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [18]), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [18]), .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [18]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~41_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~41 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~41 .lut_mask = 64'h55775F7FFFFFFFFF; defparam \neorv32_top_inst|rdata_v~41 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y20_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~41_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y20_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~16_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~16_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~16_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13 .lut_mask = 64'h3350335F3350335F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~23 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~23_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~23 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~23 .lut_mask = 64'h0000000032223222; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~23 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22 .lut_mask = 64'h003F003F00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~24 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~24_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~23_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~23_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~13_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~23_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~24 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~24 .lut_mask = 64'h03CF03CF47CF47CF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~24 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y21_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~24_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X78_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] // ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0 .lut_mask = 64'h00000000FFFF0000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3 .lut_mask = 64'h3300330000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2 .lut_mask = 64'h333333333B333B33; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2_combout )))) ) ) # // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2_combout ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3 .lut_mask = 64'h0A0A00000A0A2000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout )))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux38~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1 .lut_mask = 64'h5050505050545054; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y21_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0 .lut_mask = 64'h0004440444444444; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y21_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3 .lut_mask = 64'hAA00AA00F555F555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici~q )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4 .lut_mask = 64'hAFAFAFAFAFFFAFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [5] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0 .lut_mask = 64'hEFFAEFFAFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y19_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1 .lut_mask = 64'h00F5000000F50000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout )) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2 .lut_mask = 64'h0202020266226622; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~13_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout ) // ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout )) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~13 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~13 .lut_mask = 64'h3F333F3FBF33BF3F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0 .lut_mask = 64'h00000000EDCC0C0C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q )) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8 .lut_mask = 64'h0C1D00550C0C0044; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y21_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28])) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] // & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2 .lut_mask = 64'h00002020F005F025; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y21_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0 .lut_mask = 64'h8000800000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal265~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal265~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~1 .lut_mask = 64'h0000000080808080; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y21_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2 .lut_mask = 64'h0000000000FA00FA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout // & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1 .lut_mask = 64'h1111111100000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y21_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0 .lut_mask = 64'h8000800000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y21_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] // & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6 .lut_mask = 64'h0000020000000400; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y21_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [20] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~1 .lut_mask = 64'h0000000002000200; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y21_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0 .lut_mask = 64'h0A0A0A0A00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y21_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4 .lut_mask = 64'h00000000070C070C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y21_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal19~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal19~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal19~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal19~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal19~0 .lut_mask = 64'h1010101000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal19~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y21_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~0 .lut_mask = 64'h0000000000200020; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y21_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~1 .lut_mask = 64'h0000000080000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y21_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal19~0_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal19~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout )) ) // ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal19~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal25~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5 .lut_mask = 64'hEAEAEA0000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3 .lut_mask = 64'h000000000C0E0C0E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X71_Y21_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~1_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0_combout // )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7 .lut_mask = 64'h0000A08000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout // & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout // ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout )) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout ) ) // ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9 .lut_mask = 64'h55FF55DDF5FFF5FD; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]))))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9_combout ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9_combout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0 .lut_mask = 64'h5722F0005722F0FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~13_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf // [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~13_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~13_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5 .lut_mask = 64'h000AAAAA020AAAAA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y20_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y20_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]))) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2 .lut_mask = 64'h00080008CC4CCC4C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y19_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y18_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0 .lut_mask = 64'h222222220000FF00; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y21_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1 .lut_mask = 64'h0000000003030000; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y23_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux7~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux7~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24] ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24] ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24]), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux7~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux7~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux7~0 .lut_mask = 64'h00FF55550F0F3333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux7~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y20_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17_combout = (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux7~0_combout & // \neorv32_top_inst|io_rden~combout )) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux7~0_combout ), .datad(!\neorv32_top_inst|io_rden~combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17 .lut_mask = 64'h0005000500050005; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y20_N29 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X51_Y16_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~4 .lut_mask = 64'h0223000008010410; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y16_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~0 .lut_mask = 64'h0A082A2040109094; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y16_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~6_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~6 .lut_mask = 64'h0000044200012A01; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~5 .lut_mask = 64'h9000002000241000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~5_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~4_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~5_combout & // ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~6_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~5_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~0_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~4_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~5_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~6_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~4_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~6_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~1 .lut_mask = 64'h00F035350FFF3535; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y16_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~3_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & // ( (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~1_combout // ) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~1_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout // & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~3 .lut_mask = 64'h050505050505CD05; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y16_N31 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux7~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[24] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y17_N43 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[24] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y20_N35 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~34 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~34_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [24])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [24]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [24]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [24]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~34_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~34 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~34 .lut_mask = 64'h0A5F0A5F0A5F0A5F; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~34 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y20_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~35 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~35_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~34_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~34_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~35_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~35 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~35 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~35 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y20_N28 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~35_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [24]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[24] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[24] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y20_N3 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~25 ( // Equation(s): // \neorv32_top_inst|rdata_v~25_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout & ( // (((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [24]) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [24])) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [24]) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout & ( ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [24]) # // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [24]) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout & ( ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [24]) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [24])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [24]) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout & ( (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [24]) # // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [24]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [24]), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [24]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [24]), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~25 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~25 .lut_mask = 64'h55FF5FFF77FF7FFF; defparam \neorv32_top_inst|rdata_v~25 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y20_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~25_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~6_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~6_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~q ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8 .lut_mask = 64'h04070407F4F7F4F7; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~51 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~51_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~51_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~51 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~51 .lut_mask = 64'h4055405555555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~51 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~feeder_combout = ( \neorv32_top_inst|rdata_v~1_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|rdata_v~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y19_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~feeder_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y19_N31 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~1_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50 .lut_mask = 64'h505F303F505F303F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~1 .lut_mask = 64'h008822AA028A22AA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~0 .lut_mask = 64'h1111111105050505; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~8_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~2 .lut_mask = 64'hAAEEAAEE00AE00AE; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~3_combout = ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~1_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~3 .lut_mask = 64'hFECCFECC00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~52 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~52_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~51_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~51_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~3_combout & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~51_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~51_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~51_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux91~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~52_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~52 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~52 .lut_mask = 64'hAA2AFF7F002A557F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~52 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y20_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~52_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]))) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1 .lut_mask = 64'h0C3F0F0F00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X68_Y19_N46 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_NEW_REG159 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_OTERM160 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_NEW_REG159 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_NEW_REG159 .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y19_N53 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_NEW_REG161 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_OTERM162 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_NEW_REG161 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_NEW_REG161 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_OTERM160 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_OTERM162 ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_OTERM160 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_OTERM162 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_OTERM160 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_OTERM162 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_OTERM160 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]_OTERM162 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36 .lut_mask = 64'h000000FFFF00FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y17_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector32~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~36_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 )) // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 )) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout ), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117 .lut_mask = 64'h0000FFFF00000F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y17_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector31~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector31~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] & ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] & ( // !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector31~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector31~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector31~0 .lut_mask = 64'h0044BBFF0000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector31~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y17_N16 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector31~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~37_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[5] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[5] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y17_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector30~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector30~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector30~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector30~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector30~0 .lut_mask = 64'h0000FF5F00A0FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector30~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y17_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector30~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7] & ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0 .lut_mask = 64'h0505050500003333; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y17_N5 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[6] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y20_N17 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~48 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~48_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [6])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [6]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [6]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [6]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~48_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~48 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~48 .lut_mask = 64'h0A5F0A5F0A5F0A5F; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~48 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y20_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~49 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~49_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~48_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~48_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~49_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~49 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~49 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~49 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y20_N59 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~49_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y21_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux25~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux25~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6] ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux25~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux25~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux25~0 .lut_mask = 64'h33330F0F00FF5555; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux25~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux25~0_combout & ( \neorv32_top_inst|io_rden~combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux25~0_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y20_N50 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[6] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X52_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~6_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux24~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~6 .lut_mask = 64'h3000300030202000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~1 .lut_mask = 64'hB13820174A8B6982; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~2 .lut_mask = 64'h2224402408242A61; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~3 .lut_mask = 64'h021C2A2A554727D0; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~0 .lut_mask = 64'h83C1D108070384CC; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~4_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~3_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~3_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~3_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~0_combout // & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~2_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~1_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~3_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~2_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~3_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~4 .lut_mask = 64'h101C131FD0DCD3DF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~5_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~4_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~6_combout )))) ) ) // # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~4_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~6_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~6_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~5 .lut_mask = 64'h0022002220222022; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y19_N49 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux25~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y20_N45 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~36 ( // Equation(s): // \neorv32_top_inst|rdata_v~36_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [6] & ( // (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [6]) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [6])) # // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [6] & ( ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [6]) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [6]) ) ) ) # ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [6] & ( ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [6]) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [6])) # // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [6] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [6]) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [6]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [6]), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [6]), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~36_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~36 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~36 .lut_mask = 64'h33FF77FF3FFF7FFF; defparam \neorv32_top_inst|rdata_v~36 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y20_N38 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~36_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][6]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][6] .power_up = "low"; // synopsys translate_on // Location: FF_X56_Y20_N32 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~36_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][6]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y20_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~14 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][6]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][6]~q ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][6]~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][6]~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~14 .lut_mask = 64'h0F0F55550F0F5555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~14 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y20_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~15_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~14_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~15_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~14_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~14_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~15_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12 .lut_mask = 64'h0A0A0A0A5F5F5F5F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~28 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~28 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~28 .lut_mask = 64'h0415000004150CCC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~28 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~29 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~29_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~28_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~28_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~12_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~29 .lut_mask = 64'h03030303F3F3F3F3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~29 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y20_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~29_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[6] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[6] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [4] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0 .lut_mask = 64'h00000000B0B0B0B0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y20_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0 .lut_mask = 64'h0000000000050005; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y20_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y20_N58 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_NEW_REG39 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_NEW_REG39 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_NEW_REG39 .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y20_N55 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_NEW_REG169 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_OTERM170 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_NEW_REG169 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_NEW_REG169 .power_up = "low"; // synopsys translate_on // Location: FF_X68_Y19_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_NEW_REG167 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_OTERM168 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_NEW_REG167 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_NEW_REG167 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X68_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_OTERM170 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_OTERM168 ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_OTERM170 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_OTERM168 & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_OTERM170 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_OTERM168 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ) ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]_OTERM40 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_OTERM170 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]_OTERM168 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8 .lut_mask = 64'h0000FF0000FFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y17_N2 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector34~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector34~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector34~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2] $ (((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2] ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector34~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector34~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector34~0 .lut_mask = 64'h00FF00FFC03FC03F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector34~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y17_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector34~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q ) + ( VCC ) + ( !VCC )) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(), .sumout(), .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 ), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1 .lut_mask = 64'h0000000000003333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y17_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector33~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector33~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5_sumout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5_sumout & (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) // ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5_sumout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector33~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector33~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector33~0 .lut_mask = 64'h0000FFFF40407F7F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector33~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y17_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector33~0_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1 .lut_mask = 64'h333333330F0F0F0F; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y20_N59 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[30] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y20_N26 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y20_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [30])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [30]))) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [30]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [30]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18 .lut_mask = 64'h2277227722772277; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datae(!\neorv32_top_inst|io_rden~combout ), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y20_N52 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[30] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X52_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~4 .lut_mask = 64'h30CC30CC03CC03CC; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~6_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~6 .lut_mask = 64'h8040012006000008; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~6 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~0 .lut_mask = 64'h4200000193042101; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y17_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~1 .lut_mask = 64'h1A408A2822C9A41E; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~2 .lut_mask = 64'h1111A80021045D25; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~3_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~1_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~2_combout // & ( ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~6_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~1_combout & // ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~6_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~1_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~0_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~6_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~1_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~2_combout // & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~0_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~6_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~6_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~0_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~1_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~3 .lut_mask = 64'h10B01ABA15B51FBF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y18_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~5_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~3_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~4_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~3_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~4_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~4_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~5 .lut_mask = 64'h005500550F5F0F5F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X52_Y18_N4 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux1~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y23_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux1~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux1~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux1~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux1~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux1~0 .lut_mask = 64'h0A5F11110A5FBBBB; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux1~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y23_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux1~0_combout & // \neorv32_top_inst|io_rden~combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux1~0_combout ), .datad(!\neorv32_top_inst|io_rden~combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9 .lut_mask = 64'h00000000000F000F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y23_N17 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [30]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[30] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[30] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~16 ( // Equation(s): // \neorv32_top_inst|rdata_v~16_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [30] & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [30] & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 & ( // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [30])) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) // # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [30]) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [30] & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [30] & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 & ( ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [30])) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [30]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [30]), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [30]), .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [30]), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~16 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~16 .lut_mask = 64'h5757FFFF57FFFFFF; defparam \neorv32_top_inst|rdata_v~16 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y19_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~16_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3 .lut_mask = 64'h10151015BABFBABF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0 .lut_mask = 64'h0F000F000F000F00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~26 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~26_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~26 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~26 .lut_mask = 64'hFC00FC000A000A00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~26 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y21_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~27 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~26_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~26_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~26_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~27 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~27 .lut_mask = 64'h770F770F330F330F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~27 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y21_N49 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~27_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[4] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[4] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X67_Y18_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] // & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~1 .lut_mask = 64'h3121000000220002; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y18_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~1_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28] .power_up = "low"; // synopsys translate_on // Location: FF_X67_Y17_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y17_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19] & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30 .lut_mask = 64'h03030303CFCFCFCF; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y18_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~29 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~29_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~29 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~29 .lut_mask = 64'h00000F0FF0F0FFFF; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~29 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout & ( // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~29_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~30_combout ), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~6_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~29_combout ), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0 .lut_mask = 64'h0000000000000200; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0 .lut_mask = 64'h00000000F0F0F0F0; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y19_N50 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~0 .lut_mask = 64'h441D0B10068C1B17; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~7 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~7_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~7 .lut_mask = 64'h02320C0010061203; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y19_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~1 .lut_mask = 64'h3AC49468C6C198FF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ) # // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~2 .lut_mask = 64'hCE95D43652C17580; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y19_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~3_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~2_combout & // ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~1_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~7_combout ))) ) ) ) // # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~7_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~7_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~1_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~2_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~3 .lut_mask = 64'h4747474700CC33FF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~5_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~5 .lut_mask = 64'h3C3C000000000000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X51_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~4 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~4 .lut_mask = 64'h5F5FA0A000000000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~4 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y19_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~6_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~4_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~3_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout )) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~5_combout )) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~4_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~3_combout & ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~3_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~5_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~4_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~3_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~4_combout & ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~3_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~3_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~5_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~4_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~6 .lut_mask = 64'h003300330537AFBF; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X52_Y19_N4 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux15~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y22_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux15~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux15~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16] ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux15~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux15~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux15~0 .lut_mask = 64'h00FF55550F0F3333; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux15~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y22_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3_combout = ( \neorv32_top_inst|io_rden~combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux15~0_combout & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux15~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3 .lut_mask = 64'h0000000000330033; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y22_N56 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[16] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y20_N38 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[16] .power_up = "low"; // synopsys translate_on // Location: FF_X62_Y20_N11 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y20_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [16] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [16]) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [16] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [16]) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [16]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [16]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6 .lut_mask = 64'h00550055AAFFAAFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y20_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y20_N14 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[16] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [16]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[16] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[16] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y22_N57 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~5 ( // Equation(s): // \neorv32_top_inst|rdata_v~5_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [16] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [16] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [16]) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [16]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [16]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [16]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~5 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~5 .lut_mask = 64'hA0A0A0A000000000; defparam \neorv32_top_inst|rdata_v~5 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y21_N12 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~6 ( // Equation(s): // \neorv32_top_inst|rdata_v~6_combout = ( \neorv32_top_inst|rdata_v~5_combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [16])) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( // !\neorv32_top_inst|rdata_v~5_combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout ) ) # ( \neorv32_top_inst|rdata_v~5_combout & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [16]) ) ) ) # ( !\neorv32_top_inst|rdata_v~5_combout & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [16]), .datae(!\neorv32_top_inst|rdata_v~5_combout ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~6 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~6 .lut_mask = 64'hFFFF0033FFFF0F3F; defparam \neorv32_top_inst|rdata_v~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X57_Y19_N40 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~6_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y19_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q ))) ) ) // # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1 .lut_mask = 64'h0F0F0F0F55335533; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0 .lut_mask = 64'h00000000F0F0F0F0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y22_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~17 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~11_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux21~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~17 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~17 .lut_mask = 64'h5550555033333333; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~17 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~19 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~19_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~19 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~19 .lut_mask = 64'h0010001000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~19 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~20 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~20_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~19_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~17_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~19_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~17_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~17_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~19_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~20 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~20 .lut_mask = 64'h0433043304FF04FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~20 .shared_arith = "off"; // synopsys translate_on // Location: FF_X72_Y20_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~20_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] // & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [14] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0 .lut_mask = 64'h050A070A0F0F0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y19_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: FF_X65_Y18_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[8] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[8] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8] & // \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8]), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2 .lut_mask = 64'h00550055FF55FF55; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y19_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|rden~0 ( // Equation(s): // \neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & \neorv32_top_inst|io_rden~combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|io_rden~combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|rden~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|rden~0 .lut_mask = 64'h0000000000110011; defparam \neorv32_top_inst|neorv32_sysinfo_inst|rden~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y19_N53 dffeas \neorv32_top_inst|neorv32_sysinfo_inst|ack_o ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|ack_o .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|ack_o .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0 .lut_mask = 64'h00000000AFAFAFAF; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y19_N44 dffeas \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y19_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0_combout = ( \neorv32_top_inst|io_wren~combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ) ) # ( !\neorv32_top_inst|io_wren~combout & ( // (\neorv32_top_inst|io_rden~combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|io_rden~combout ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datad(gnd), .datae(!\neorv32_top_inst|io_wren~combout ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0 .lut_mask = 64'h03030F0F03030F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y19_N56 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y19_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout = (\neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout & ((\neorv32_top_inst|io_rden~combout ) # (\neorv32_top_inst|io_wren~combout ))) .dataa(gnd), .datab(!\neorv32_top_inst|io_wren~combout ), .datac(!\neorv32_top_inst|io_rden~combout ), .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0 .lut_mask = 64'h003F003F003F003F; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y19_N47 dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|io_wren~combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout )) ) ) ) # ( !\neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|io_wren~combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ))) ) ) ) # ( \neorv32_top_inst|io_rden~combout & ( !\neorv32_top_inst|io_wren~combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datae(!\neorv32_top_inst|io_rden~combout ), .dataf(!\neorv32_top_inst|io_wren~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0 .lut_mask = 64'h0000001100010011; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y19_N1 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y19_N0 cyclonev_lcell_comb \neorv32_top_inst|ack_v~0 ( // Equation(s): // \neorv32_top_inst|ack_v~0_combout = ( !\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~q & ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~q & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q & // (!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q & !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q ), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~q ), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~q ), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|ack_v~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|ack_v~0 .extended_lut = "off"; defparam \neorv32_top_inst|ack_v~0 .lut_mask = 64'h8000000000000000; defparam \neorv32_top_inst|ack_v~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y16_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control~2 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|control~2_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout // & (\neorv32_top_inst|ack_v~0_combout & \neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ) ) # ( // \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & (\neorv32_top_inst|ack_v~0_combout & // \neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), .datac(!\neorv32_top_inst|ack_v~0_combout ), .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ), .datae(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~2 .lut_mask = 64'hCCCC000AFFFF000A; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y16_N35 dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~2_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y14_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control~6 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|control~6_combout = ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0] & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( !\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout // ) ) ) .dataa(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~6 .lut_mask = 64'h00000000AAAA0000; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~6 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y14_N35 dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~6_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y14_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control~5 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|control~5_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & // (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]))) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) .dataa(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0]~DUPLICATE_q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~5 .lut_mask = 64'hFFFFFFFFA050A050; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y14_N25 dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~5_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y14_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control~3 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|control~3_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2] & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout // [3]) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2] & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) # ((\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3] & // ((\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1])))) ) ) .dataa(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~3 .lut_mask = 64'hAABFAABFAAFFAAFF; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y14_N56 dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~3_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y14_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control~4 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|control~4_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3] & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) # // (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2] $ (((\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1])))) ) ) # ( // !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3] & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) # ((\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2] & // ((\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1])))) ) ) .dataa(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~4 .lut_mask = 64'hAABFAABFEABFEABF; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y14_N59 dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~4_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2] .power_up = "low"; // synopsys translate_on // Location: MLABCELL_X59_Y14_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout = ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1] & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0]~DUPLICATE_q & // (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2] & !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3])) ) ) .dataa(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0]~DUPLICATE_q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2]), .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0 .lut_mask = 64'hA000A00000000000; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control~1 ( // Equation(s): // \neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout & ( (\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) ) // ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) ) .dataa(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~1 .lut_mask = 64'h0F0F05050F0F0505; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y16_N13 dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y16_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout = ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q & ( \neorv32_top_inst|ack_v~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ), .dataf(!\neorv32_top_inst|ack_v~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0 .lut_mask = 64'h00000000FFFF0000; defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ))) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1 .lut_mask = 64'h0CFF0CFF04550455; defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N26 dffeas \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y18_N58 dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y16_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q & ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~DUPLICATE_q & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q & ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~DUPLICATE_q & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q )) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q & ( // !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ) # // (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0 .lut_mask = 64'h50F000005CFC4CCC; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y16_N26 dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y16_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q & ( ((\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q & // \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q )) # (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q & ( // (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q & \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0 .lut_mask = 64'h005500550F5F0F5F; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y16_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o .lut_mask = 64'hAAAA8A8A00008A8A; defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X59_Y19_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~8_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0 .lut_mask = 64'h00000000FFFF0000; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y19_N10 dffeas \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden .power_up = "low"; // synopsys translate_on // Location: LABCELL_X53_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|io_rden~combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|io_rden~combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux28~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0 .lut_mask = 64'h0000000000010001; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X53_Y20_N44 dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[17] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y16_N4 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[17] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y19_N41 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y19_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [17])) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [17]))) .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [17]), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [17]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4 .lut_mask = 64'h4477447744774477; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y19_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datae(!\neorv32_top_inst|io_rden~combout ), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y19_N23 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y22_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux14~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux14~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17] & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux14~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux14~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux14~0 .lut_mask = 64'h505F505F0303F3F3; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux14~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y22_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & ( \neorv32_top_inst|io_rden~combout & ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux14~0_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux14~0_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2 .lut_mask = 64'h0000000000000F0F; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X56_Y22_N40 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X53_Y20_N45 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~3 ( // Equation(s): // \neorv32_top_inst|rdata_v~3_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [17] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [17] & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [17]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [17]), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [17]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [17]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~3 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~3 .lut_mask = 64'hF000F00000000000; defparam \neorv32_top_inst|rdata_v~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y20_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~0 .lut_mask = 64'h00C0008000000080; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ))))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout $ // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~1 .lut_mask = 64'h02999080DB2B960E; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~1 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))))) ) ) ) # ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~2 .lut_mask = 64'h0E1C088906018EDC; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X53_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~6 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~6_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout $ // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout )))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~6 .lut_mask = 64'h00000C2C040E0804; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~6 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~3_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~2_combout & ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~6_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~1_combout ) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~2_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~6_combout // & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~0_combout ))) # // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~1_combout )))) ) ) ) # ( // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~2_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~6_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~2_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~6_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & // (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~1_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~1_combout ), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~2_combout ), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~3 .lut_mask = 64'h04340737C4F4C7F7; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~3 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X52_Y20_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~5 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~5_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~3_combout )) # // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~4_combout ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~3_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux9~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~4_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~5 .lut_mask = 64'h005500550F5F0F5F; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X52_Y20_N16 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux14~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [17]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[17] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[17] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y20_N6 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~4 ( // Equation(s): // \neorv32_top_inst|rdata_v~4_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 & ( // ((!\neorv32_top_inst|rdata_v~3_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [17])) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 & ( (!\neorv32_top_inst|rdata_v~3_combout ) # // (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 & ( (!\neorv32_top_inst|rdata_v~3_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [17]) ) ) ) # ( // !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 & ( !\neorv32_top_inst|rdata_v~3_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datac(!\neorv32_top_inst|rdata_v~3_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [17]), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~4 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~4 .lut_mask = 64'hF0F0F0FFF3F3F3FF; defparam \neorv32_top_inst|rdata_v~4 .shared_arith = "off"; // synopsys translate_on // Location: FF_X59_Y19_N4 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~4_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1] .power_up = "low"; // synopsys translate_on // Location: FF_X60_Y19_N47 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~4_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y19_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~q ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0 .lut_mask = 64'h0F0F0F0F00FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y19_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q ))))) ) // ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1 .lut_mask = 64'h0000FFFF02130213; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2 .lut_mask = 64'h0055005505500550; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N17 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y18_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[1]~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[1]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[1]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[1]~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[1]~2 .lut_mask = 64'h0000000030A030A0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[1]~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N14 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[1]~2_combout $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[1]~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3 .lut_mask = 64'h0055005505500550; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1] & // ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0 .lut_mask = 64'hA5A500000000A5A5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y18_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0_combout // )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0_combout ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q )) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0 .lut_mask = 64'hFF0CFF0CC000C000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0 .lut_mask = 64'h0000000000FF00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y15_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0 .lut_mask = 64'h55DD55DD00CC00CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y15_N52 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y15_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0 .lut_mask = 64'h000000000000FFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X62_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & ( \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38] ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0 .lut_mask = 64'h0F0FCCCC0F0F0000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y16_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]))) ) // ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8 .lut_mask = 64'h00CC00CC40CC40CC; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8 .shared_arith = "off"; // synopsys translate_on // Location: FF_X62_Y16_N37 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[7] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[7] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y16_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6] // & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0 .lut_mask = 64'h8000800000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y20_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~DUPLICATE_q )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0 .lut_mask = 64'h0000000000440044; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2 .lut_mask = 64'hF3A2F3A2F0A0F0A0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout )) // ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1 .lut_mask = 64'h0000000000440044; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X67_Y20_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout & ( // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [2]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3 .lut_mask = 64'hDDDFFFFFDFDFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X67_Y20_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y20_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0 .lut_mask = 64'h2727333300000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y18_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6 .lut_mask = 64'h9900990000990099; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14 .lut_mask = 64'h000000000000A5A5; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y18_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~2_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [29]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[29] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[29] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [29] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [29] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0])) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [29]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10 .lut_mask = 64'h0300030000300030; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1])))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13 .lut_mask = 64'hFFCF0000FF4D0000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8 .lut_mask = 64'hC300C30000C300C3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31])))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7 .lut_mask = 64'h8040804008040804; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X66_Y18_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout ) ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9 .lut_mask = 64'h0000000000F0000F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y18_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11 .lut_mask = 64'h0F0F0F0F0F0F0F0E; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y18_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG17 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG17 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_NEW_REG17 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0 .lut_mask = 64'h00FC00FC00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y18_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_NEW_REG29 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM30 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_NEW_REG29 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_NEW_REG29 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y18_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1 .lut_mask = 64'h00000000000D000D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y18_N20 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_NEW_REG35 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM36 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_NEW_REG35 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_NEW_REG35 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y18_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM36 & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM34 ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM16 ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM36 & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM34 ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM16 & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM36 & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM34 ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 ))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM36 & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM34 ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM36 ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT_OTERM34 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM16 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0 .lut_mask = 64'h4455554444455554; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y18_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout ) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1 .lut_mask = 64'hF5F0F5F055005500; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y18_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_NEW_REG31 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM32 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_NEW_REG31 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_NEW_REG31 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y18_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM30 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM32 ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM30 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM32 ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM30 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM32 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM16 )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM16 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM30 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM32 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0 .lut_mask = 64'h000023DCFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y18_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1])) // # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~0 .lut_mask = 64'h0A5F0A5F0A0A0A0A; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y18_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y18_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0]))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2 .lut_mask = 64'h0132013200330033; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y18_N59 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3 .lut_mask = 64'h0033003303300330; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y18_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1] & // ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ))) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0 .lut_mask = 64'hC300C30000C300C3; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~1 .lut_mask = 64'h000000008A808A80; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y18_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~1_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1 .lut_mask = 64'h000F000F0F000F00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y18_N5 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0 .lut_mask = 64'h0022002205270527; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y18_N21 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0]~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2 .lut_mask = 64'h0F0F0F0FA303AF0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y18_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3 .lut_mask = 64'h000F000FFF0FFF0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y18_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align .power_up = "low"; // synopsys translate_on // Location: LABCELL_X57_Y21_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~21_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~21_combout )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q )))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~21_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15 .lut_mask = 64'h2227222772777277; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X72_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~25 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~25_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~15_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux93~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~25 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~25 .lut_mask = 64'h111111111D111D11; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~25 .shared_arith = "off"; // synopsys translate_on // Location: FF_X66_Y20_N11 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~25_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y19_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0 .lut_mask = 64'hACFCACFC0C0C0C0C; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y19_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1])) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1 .lut_mask = 64'h000C000C00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y19_N25 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X55_Y21_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout = ( \neorv32_top_inst|rdata_v~13_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|rdata_v~13_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ))) ) ) ) // # ( \neorv32_top_inst|rdata_v~13_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|rdata_v~13_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datae(!\neorv32_top_inst|rdata_v~13_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0 .lut_mask = 64'h0055337700F533F7; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y21_N7 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X66_Y16_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl // [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [31]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1 .lut_mask = 64'hF5F50505303F303F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y16_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31] & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ // (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ) # // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24]~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0 .lut_mask = 64'h00543C6DFC54CF6D; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X73_Y16_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31] ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE_q ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Mux31~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~0 .lut_mask = 64'h000000000F0F00FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X74_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~feeder_combout = ( \~GND~combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\~GND~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y18_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~63_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X74_Y18_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~63 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~63_combout = ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125_sumout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125_sumout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~63_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~63 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~63 .lut_mask = 64'h4747474744774477; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~63 .shared_arith = "off"; // synopsys translate_on // Location: FF_X74_Y18_N43 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~63_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~DUPLICATE .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~DUPLICATE .power_up = "low"; // synopsys translate_on // Location: LABCELL_X73_Y17_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout = SUM(( GND ) + ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~DUPLICATE_q ))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31])))) ) + ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_u~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31]), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31]~DUPLICATE_q ), .datag(gnd), .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 ), .sharein(gnd), .combout(), .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout ), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125 .lut_mask = 64'h0000A96500000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y16_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux14~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~1 .lut_mask = 64'hFAFA0000C8C80000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y16_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~1_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout )))) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux0~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2 .lut_mask = 64'hA3F300FFA3A300FF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X70_Y16_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout & // ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ), .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3 .extended_lut = "on"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3 .lut_mask = 64'h007F0F0F00FF0F0F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y18_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5 .lut_mask = 64'h2100210000210021; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y18_N26 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_NEW_REG27 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_NEW_REG27 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_NEW_REG27 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y18_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_RTM045 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_RTM045_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_RTM045_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_RTM045 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_RTM045 .lut_mask = 64'hFF00FF00FFF0FFF0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_RTM045 .shared_arith = "off"; // synopsys translate_on // Location: FF_X63_Y18_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart_NEW_REG43 ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_RTM045_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart_OTERM44 ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart_NEW_REG43 .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart_NEW_REG43 .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y18_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM30 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart_OTERM44 ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM30 & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart_OTERM44 ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM30 & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart_OTERM44 & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 $ // (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM16 )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM28 ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM18 ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM14 ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED_OTERM16 ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART_OTERM30 ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart_OTERM44 ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4 .lut_mask = 64'h00002D3CFFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y18_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[1]~2_combout & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[1]~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[1]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1 .lut_mask = 64'h000F000F0F000F00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y18_N35 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X63_Y18_N45 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~3 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~3_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~DUPLICATE_q ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~3 .lut_mask = 64'h1313131313131313; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~3 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X63_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q )))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1 .lut_mask = 64'h5544554455545554; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X57_Y18_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout )) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout ), .datae(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2 .lut_mask = 64'hA000A000E0E0A0A0; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y18_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~3_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~3_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg // [12] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout )))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~3_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0 .lut_mask = 64'h0000F5F90000F5FA; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y18_N10 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1] & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1]))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0] $ // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0])))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1 .lut_mask = 64'h00DE00DE007B007B; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y17_N39 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2 .lut_mask = 64'h0F000F000F000F00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y16_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ) ) ) .dataa(gnd), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0 .lut_mask = 64'h0F0F0FFF0F0FFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y16_N13 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING .power_up = "low"; // synopsys translate_on // Location: LABCELL_X62_Y18_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( // !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(gnd), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0 .lut_mask = 64'h0000CCCC00000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X60_Y18_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q & ( // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0]) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0]))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[0]~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0 .lut_mask = 64'h050A050A000F000F; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y18_N44 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y18_N30 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & // ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0] & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0] & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0]), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0 .lut_mask = 64'h00CC0033CC003300; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y18_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2 ( // Equation(s): // \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & // ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q )))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # // (!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2 .lut_mask = 64'h0F0C0F0C0D0C0D0C; defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y17_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13] & ( // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]) ) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~37_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2 .lut_mask = 64'hFCFC303000000000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~1 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout & // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~26_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~36_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~1 .lut_mask = 64'h8080808000000000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~1 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X56_Y19_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~0 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout & // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~27_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~28_combout ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~0 .lut_mask = 64'h0005000500550055; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X55_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~3 ( // Equation(s): // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~3_combout = ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout )) ) ) ) # // ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout & // !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout & ( // (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~2_combout ), .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~1_combout ), .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~38_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~0_combout ), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~24_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~3 .lut_mask = 64'h5050505010100000; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X55_Y19_N37 dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux30~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd[1] .power_up = "low"; // synopsys translate_on // Location: FF_X61_Y19_N38 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y16_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[1]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[1]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[1]~feeder .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[1]~feeder .shared_arith = "off"; // synopsys translate_on // Location: FF_X64_Y16_N55 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[1]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y19_N36 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [1] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [1]) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [1] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [1]) ) ) .dataa(gnd), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(gnd), .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2 .lut_mask = 64'h00330033CCFFCCFF; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3 ( // Equation(s): // \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2_combout & ( \neorv32_top_inst|io_rden~combout & ( // (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout & // \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~2_combout ), .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux16~0_combout ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Mux20~0_combout ), .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2_combout ), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3 .lut_mask = 64'h0000000000000001; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y19_N19 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X61_Y22_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux30~0 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux30~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( // (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1] & ( // \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]))) ) ) ) # ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & // (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1]), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]), .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]), .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1]), .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux30~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux30~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux30~0 .lut_mask = 64'h550F550F0033FF33; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux30~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X61_Y22_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1 ( // Equation(s): // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1_combout = ( \neorv32_top_inst|io_rden~combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout & // \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux30~0_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~1_combout ), .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Mux30~0_combout ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1 .lut_mask = 64'h0000000011111111; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1 .shared_arith = "off"; // synopsys translate_on // Location: FF_X61_Y22_N25 dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [1]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X56_Y19_N18 cyclonev_lcell_comb \neorv32_top_inst|rdata_v~2 ( // Equation(s): // \neorv32_top_inst|rdata_v~2_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [1] ) ) # ( // !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [1] ) ) # ( // \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [1] & ( // (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [1] & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 & ( // !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [1] & ( ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [1] & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [1]) ) ) ) .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_rom_rd [1]), .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [1]), .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 ), .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [1]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|rdata_v~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|rdata_v~2 .extended_lut = "off"; defparam \neorv32_top_inst|rdata_v~2 .lut_mask = 64'h33773F7FFFFFFFFF; defparam \neorv32_top_inst|rdata_v~2 .shared_arith = "off"; // synopsys translate_on // Location: FF_X60_Y19_N50 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|rdata_v~2_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q ), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X60_Y19_N42 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout ))) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout )) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q ), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4 .lut_mask = 64'h03F303F353535353; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y23_N33 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~34 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~34_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout )) ) ) ) # ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & // ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~1_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~7_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~34_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~34 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~34 .lut_mask = 64'hAC00EC000C00CC00; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~34 .shared_arith = "off"; // synopsys translate_on // Location: MLABCELL_X65_Y23_N27 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~35 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~35_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~34_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout & // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~34_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ) ) ) # ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~34_combout & ( // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~4_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~5_combout ), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~34_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~35_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~35 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~35 .lut_mask = 64'h0F0F0F0F05050000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~35 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y23_N28 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~35_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11] .power_up = "low"; // synopsys translate_on // Location: LABCELL_X64_Y20_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8] & ( // !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11] & // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10]), .datad(gnd), .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0 .lut_mask = 64'h8080000000000000; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0 .shared_arith = "off"; // synopsys translate_on // Location: LABCELL_X64_Y20_N51 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0 ( // Equation(s): // \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1])) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout ), .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]), .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), .datag(gnd), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0 .extended_lut = "off"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0 .lut_mask = 64'h0A000A00FFFFFFFF; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0 .shared_arith = "off"; // synopsys translate_on // Location: FF_X65_Y18_N41 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0] .power_up = "low"; // synopsys translate_on // Location: FF_X64_Y16_N31 dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [0]), .prn(vcc)); // synopsys translate_off defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0] .is_wysiwyg = "true"; defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0] .power_up = "low"; // synopsys translate_on endmodule