-- The NEORV32 RISC-V Processor: https://github.com/stnolting/neorv32 -- Auto-generated memory initialization file (for APPLICATION) from source file -- Size: 4660 bytes -- MARCH: default -- Built: 08.03.2023 15:12:08 -- prototype defined in 'neorv32_package.vhd' package body neorv32_application_image is constant application_init_image : mem32_t := ( x"30005073", x"30401073", x"00000097", x"13408093", x"30509073", x"80002117", x"fe810113", x"80000197", x"7e418193", x"00000213", x"00000293", x"00000313", x"00000393", x"00000413", x"00000493", x"00000813", x"00000893", x"00000913", x"00000993", x"00000a13", x"00000a93", x"00000b13", x"00000b93", x"00000c13", x"00000c93", x"00000d13", x"00000d93", x"00000e13", x"00000e93", x"00000f13", x"00000f93", x"00001597", x"1b858593", x"80000617", x"f7c60613", x"80000697", x"f7468693", x"00c58e63", x"00d65c63", x"0005a703", x"00e62023", x"00458593", x"00460613", x"fedff06f", x"80000717", x"f5070713", x"87418793", x"00f75863", x"00072023", x"00470713", x"ff5ff06f", x"00001417", x"db840413", x"00001497", x"db048493", x"00945a63", x"00042083", x"000080e7", x"00440413", x"ff1ff06f", x"00000513", x"00000593", x"090000ef", x"30401073", x"34051073", x"00001417", x"d8040413", x"00001497", x"d7848493", x"00945a63", x"00042083", x"000080e7", x"00440413", x"ff1ff06f", x"00000093", x"00008463", x"000080e7", x"10500073", x"0000006f", x"ff810113", x"00812023", x"00912223", x"34202473", x"02044663", x"34102473", x"00041483", x"0034f493", x"00240413", x"34141073", x"00300413", x"00941863", x"34102473", x"00240413", x"34141073", x"00012403", x"00412483", x"00810113", x"30200073", x"fc010113", x"02112e23", x"02812c23", x"02912a23", x"04010413", x"03412423", x"03512223", x"03212823", x"03312623", x"03612023", x"01712e23", x"720000ef", x"00005537", x"00000613", x"00000593", x"b0050513", x"149000ef", x"00000513", x"75c000ef", x"00001537", x"e8450513", x"1f9000ef", x"fe002583", x"00001537", x"ea850513", x"241000ef", x"0c800493", x"00001ab7", x"7d000a13", x"00249793", x"00f78793", x"ff07f793", x"00010b13", x"40f10133", x"fc942623", x"0e4000ef", x"00010b93", x"00050913", x"00a00993", x"000b8593", x"fcc40513", x"fff98993", x"068000ef", x"fe0998e3", x"0c0000ef", x"41250633", x"00048593", x"ebca8513", x"0c848493", x"1e1000ef", x"000b0113", x"fb4494e3", x"00001537", x"ee450513", x"175000ef", x"fc040113", x"03c12083", x"03812403", x"03412483", x"03012903", x"02c12983", x"02812a03", x"02412a83", x"02012b03", x"01c12b83", x"00000513", x"04010113", x"00008067", x"ff010113", x"00812623", x"01010413", x"00052683", x"00269693", x"00f68793", x"ff07f793", x"40f10133", x"00010513", x"00000793", x"00f69a63", x"ff040113", x"00c12403", x"01010113", x"00008067", x"00f50733", x"00072603", x"00f58833", x"00478793", x"00261713", x"00c70733", x"00171713", x"00e82023", x"fcdff06f", x"f9402583", x"f9002503", x"f9402783", x"fef59ae3", x"00008067", x"fb010113", x"04112623", x"04512423", x"04612223", x"04712023", x"02812e23", x"02a12c23", x"02b12a23", x"02c12823", x"02d12623", x"02e12423", x"02f12223", x"03012023", x"01112e23", x"01c12c23", x"01d12a23", x"01e12823", x"01f12623", x"34202473", x"00b00793", x"0287ea63", x"00001737", x"00241793", x"eec70713", x"00e787b3", x"0007a783", x"00078067", x"00001737", x"00279793", x"f1c70713", x"00e787b3", x"0007a783", x"00078067", x"800007b7", x"ffd7c793", x"00f407b3", x"01c00713", x"fcf77ce3", x"228000ef", x"00045a63", x"0c00006f", x"800007b7", x"0007a783", x"000780e7", x"34102773", x"00075783", x"01079793", x"0107d793", x"00470613", x"301026f3", x"0046f693", x"00068a63", x"0037f793", x"00300693", x"00d78463", x"00270613", x"34161073", x"07c0006f", x"800007b7", x"0047a783", x"fbdff06f", x"800007b7", x"0087a783", x"fb1ff06f", x"800007b7", x"00c7a783", x"fa5ff06f", x"800007b7", x"0107a783", x"f99ff06f", x"800007b7", x"0147a783", x"f8dff06f", x"800007b7", x"0187a783", x"f81ff06f", x"800007b7", x"01c7a783", x"f75ff06f", x"800007b7", x"0207a783", x"f69ff06f", x"800007b7", x"0247a783", x"f5dff06f", x"800007b7", x"0287a783", x"000780e7", x"03c12403", x"04c12083", x"04812283", x"04412303", x"04012383", x"03812503", x"03412583", x"03012603", x"02c12683", x"02812703", x"02412783", x"02012803", x"01c12883", x"01812e03", x"01412e83", x"01012f03", x"00c12f83", x"05010113", x"30200073", x"800007b7", x"02c7a783", x"fa9ff06f", x"800007b7", x"0307a783", x"f9dff06f", x"800007b7", x"0347a783", x"f91ff06f", x"800007b7", x"0387a783", x"f85ff06f", x"800007b7", x"03c7a783", x"f79ff06f", x"8401a783", x"f71ff06f", x"8441a783", x"f69ff06f", x"8481a783", x"f61ff06f", x"84c1a783", x"f59ff06f", x"8501a783", x"f51ff06f", x"8541a783", x"f49ff06f", x"8581a783", x"f41ff06f", x"85c1a783", x"f39ff06f", x"8601a783", x"f31ff06f", x"8641a783", x"f29ff06f", x"8681a783", x"f21ff06f", x"86c1a783", x"f19ff06f", x"8701a783", x"f11ff06f", x"fe010113", x"01212823", x"00050913", x"00001537", x"00912a23", x"f9050513", x"000014b7", x"00812c23", x"01312623", x"00112e23", x"01c00413", x"64c000ef", x"20448493", x"ffc00993", x"008957b3", x"00f7f793", x"00f487b3", x"0007c503", x"ffc40413", x"614000ef", x"ff3414e3", x"01c12083", x"01812403", x"01412483", x"01012903", x"00c12983", x"02010113", x"00008067", x"fe010113", x"00112e23", x"00812c23", x"00912a23", x"01212823", x"01312623", x"51c000ef", x"2a050063", x"00001537", x"f9450513", x"5e0000ef", x"342024f3", x"00b00793", x"00001437", x"0097ee63", x"00001737", x"00249793", x"14c70713", x"00e787b3", x"0007a783", x"00078067", x"800007b7", x"00b78713", x"22e48863", x"04976463", x"00378713", x"1ee48c63", x"00778793", x"20f48863", x"00001537", x"0ec50513", x"58c000ef", x"00048513", x"f0dff0ef", x"fbc40513", x"57c000ef", x"34102473", x"00040513", x"ef9ff0ef", x"00200793", x"0cf48e63", x"0380006f", x"ff07c793", x"00f487b3", x"00f00713", x"fcf760e3", x"00001537", x"0e050513", x"548000ef", x"00f4f513", x"ec9ff0ef", x"fbc40513", x"538000ef", x"34102573", x"eb9ff0ef", x"1004c663", x"0200006f", x"00001537", x"f9c50513", x"51c000ef", x"fbc40513", x"514000ef", x"34102573", x"e95ff0ef", x"00001537", x"14450513", x"500000ef", x"34302573", x"1680006f", x"00001537", x"fc450513", x"4ec000ef", x"f7802783", x"1807d063", x"0017f793", x"16078663", x"00001537", x"10450513", x"4d0000ef", x"fbc40513", x"4c8000ef", x"34102473", x"00040513", x"e45ff0ef", x"00200793", x"02f48463", x"fa9ff06f", x"00001537", x"fe050513", x"4a4000ef", x"fbc40513", x"49c000ef", x"34102473", x"00040513", x"e19ff0ef", x"00001537", x"13050513", x"484000ef", x"00045483", x"01049493", x"0104d493", x"00240513", x"00055503", x"00300793", x"01051513", x"0034f713", x"01055513", x"10f70263", x"00001537", x"f9050513", x"00001937", x"44c000ef", x"00c00413", x"20490913", x"ffc00993", x"4084d7b3", x"00f7f793", x"00f907b3", x"0007c503", x"ffc40413", x"410000ef", x"ff3414e3", x"01812403", x"01c12083", x"01412483", x"01012903", x"00c12983", x"00001537", x"13850513", x"02010113", x"4000006f", x"00001537", x"ff450513", x"ed9ff06f", x"00001537", x"00050513", x"ecdff06f", x"00001537", x"01850513", x"ef1ff06f", x"00001537", x"02c50513", x"eb5ff06f", x"00001537", x"04850513", x"ed9ff06f", x"00001537", x"05c50513", x"e9dff06f", x"00001537", x"07c50513", x"e91ff06f", x"00001537", x"09c50513", x"3a0000ef", x"fbc40513", x"398000ef", x"34102573", x"d19ff0ef", x"f6dff06f", x"00001537", x"0b450513", x"fe1ff06f", x"00001537", x"0c850513", x"fd5ff06f", x"00001537", x"11450513", x"e99ff06f", x"00001537", x"12450513", x"e8dff06f", x"01051513", x"00956533", x"fc1ff06f", x"01c12083", x"01812403", x"01412483", x"01012903", x"00c12983", x"02010113", x"00008067", x"01f00793", x"02a7e263", x"800007b7", x"00251513", x"00078793", x"00a787b3", x"5cc00713", x"00e7a023", x"00000513", x"00008067", x"00100513", x"00008067", x"ff010113", x"00112623", x"00812423", x"00912223", x"30c00793", x"30579073", x"00000793", x"30479073", x"34479073", x"f6002c23", x"00000413", x"01d00493", x"00040513", x"00140413", x"0ff47413", x"f95ff0ef", x"fe9418e3", x"00c12083", x"00812403", x"00412483", x"01010113", x"00008067", x"30102673", x"400005b7", x"10058593", x"00b677b3", x"04b78263", x"04051463", x"fe010113", x"00c12623", x"00112e23", x"1b0000ef", x"400007b7", x"00c12603", x"10078593", x"00050863", x"00001537", x"17c50513", x"2c0000ef", x"01c12083", x"00100513", x"02010113", x"00008067", x"00000513", x"00008067", x"00100513", x"00008067", x"fd010113", x"02812423", x"02912223", x"03212023", x"01312e23", x"01412c23", x"02112623", x"01512a23", x"00001a37", x"00050493", x"00058413", x"00058523", x"00000993", x"00410913", x"228a0a13", x"00a00593", x"00048513", x"464000ef", x"00aa0533", x"00054783", x"01390ab3", x"00048513", x"00fa8023", x"00a00593", x"41c000ef", x"00198993", x"00a00793", x"00050493", x"fcf996e3", x"00090693", x"00900713", x"03000613", x"0096c583", x"00070793", x"fff70713", x"01071713", x"01075713", x"00c59a63", x"000684a3", x"fff68693", x"fe0710e3", x"00000793", x"00f907b3", x"00000713", x"0007c683", x"00068c63", x"00170613", x"00e40733", x"00d70023", x"01061713", x"01075713", x"fff78693", x"02f91863", x"00e40433", x"00040023", x"02c12083", x"02812403", x"02412483", x"02012903", x"01c12983", x"01812a03", x"01412a83", x"03010113", x"00008067", x"00068793", x"fadff06f", x"00001637", x"00758693", x"00000713", x"21460613", x"02000813", x"00e557b3", x"00f7f793", x"00f607b3", x"0007c783", x"00470713", x"fff68693", x"00f680a3", x"ff0712e3", x"00058423", x"00008067", x"00b50693", x"01900613", x"00054783", x"f9f78713", x"0ff77713", x"00e66663", x"fe078793", x"00f50023", x"00150513", x"fea692e3", x"00008067", x"fe802503", x"01255513", x"00157513", x"00008067", x"ff010113", x"00812423", x"00912223", x"00112623", x"fa002023", x"fe002783", x"00058413", x"00151593", x"00078513", x"00060493", x"2d4000ef", x"01051513", x"000017b7", x"01055513", x"00000713", x"ffe78793", x"04a7e463", x"0034f493", x"01449493", x"00347413", x"fff50793", x"0097e7b3", x"01641413", x"0087e7b3", x"01871713", x"00c12083", x"00812403", x"00e7e7b3", x"10000737", x"00e7e7b3", x"faf02023", x"00412483", x"01010113", x"00008067", x"ffe70693", x"0fd6f693", x"00069a63", x"00355513", x"00170713", x"0ff77713", x"fa1ff06f", x"00155513", x"ff1ff06f", x"00040737", x"fa002783", x"00e7f7b3", x"fe079ce3", x"faa02223", x"00008067", x"ff010113", x"00812423", x"01212023", x"00112623", x"00912223", x"00050413", x"00a00913", x"00044483", x"00140413", x"00049e63", x"00c12083", x"00812403", x"00412483", x"00012903", x"01010113", x"00008067", x"01249663", x"00d00513", x"fa1ff0ef", x"00048513", x"f99ff0ef", x"fc9ff06f", x"fa010113", x"04f12a23", x"04410793", x"02912a23", x"03212823", x"03312623", x"03412423", x"03512223", x"03612023", x"01712e23", x"01812c23", x"01912a23", x"02112e23", x"02812c23", x"00050493", x"04b12223", x"04c12423", x"04d12623", x"04e12823", x"05012c23", x"05112e23", x"00f12023", x"02500a13", x"00a00a93", x"07000913", x"07500b13", x"07800b93", x"07300c13", x"06300993", x"06400c93", x"0004c403", x"02041c63", x"03c12083", x"03812403", x"03412483", x"03012903", x"02c12983", x"02812a03", x"02412a83", x"02012b03", x"01c12b83", x"01812c03", x"01412c83", x"06010113", x"00008067", x"11441463", x"0014c403", x"0f240263", x"06896263", x"09340463", x"0089ee63", x"05800793", x"0af40663", x"02500513", x"eb9ff0ef", x"00040513", x"07c0006f", x"01940663", x"06900793", x"fef414e3", x"00012783", x"0007a403", x"00478713", x"00e12023", x"00045863", x"02d00513", x"40800433", x"e85ff0ef", x"00410593", x"00040513", x"c4dff0ef", x"00410513", x"0200006f", x"05640063", x"07740c63", x"fb8414e3", x"00012783", x"0007a503", x"00478713", x"00e12023", x"e69ff0ef", x"00248493", x"f31ff06f", x"00012783", x"0007c503", x"00478713", x"00e12023", x"e35ff0ef", x"fe5ff06f", x"00012783", x"00410593", x"00478713", x"0007a503", x"00e12023", x"fa1ff06f", x"00012783", x"00410593", x"0007a503", x"00478713", x"00e12023", x"cddff0ef", x"00410513", x"d11ff0ef", x"f81ff06f", x"00012783", x"00410593", x"0007a503", x"00478713", x"00e12023", x"cb9ff0ef", x"f65ff06f", x"01541663", x"00d00513", x"dcdff0ef", x"00040513", x"00148493", x"dc1ff0ef", x"ea5ff06f", x"02054e63", x"0405c363", x"85aa862e", x"c215557d", x"78634685", x"566300b6", x"060600c0", x"6ce30686", x"4501feb6", x"00c5e463", x"8d558d91", x"82058285", x"8082faf5", x"3fc98286", x"8282852e", x"40a00533", x"00b04763", x"40b005b3", x"05b3b7c1", x"828640b0", x"05333f65", x"828240a0", x"c7638286", x"49630005", x"375d0005", x"8282852e", x"40b005b3", x"fe055be3", x"40a00533", x"05333f51", x"828240b0", x"00000000", x"6f6d654d", x"6d207972", x"67616e61", x"6e656d65", x"79632074", x"73656c63", x"61656d20", x"65727573", x"000a3a20", x"524f454e", x"3a323356", x"65724620", x"203d2071", x"000a7525", x"524f454e", x"3a323356", x"61656d20", x"7963206e", x"73656c63", x"3d204e20", x"20752520", x"2020203a", x"25202020", x"00000a75", x"3a646e65", x"0000000a", x"000003b0", x"000003f4", x"00000400", x"0000040c", x"00000418", x"00000424", x"00000430", x"0000043c", x"00000448", x"000003a4", x"000003a4", x"00000454", x"00000460", x"000003a4", x"000003a4", x"000003a4", x"000004b8", x"000003a4", x"000003a4", x"000003a4", x"000004c4", x"000003a4", x"000003a4", x"000003a4", x"000003a4", x"000004d0", x"000004dc", x"000004e8", x"000004f4", x"000004fc", x"00000504", x"0000050c", x"00000514", x"0000051c", x"00000524", x"0000052c", x"00000534", x"0000053c", x"00000544", x"0000054c", x"00000554", x"00007830", x"4554523c", x"0000203e", x"74736e49", x"74637572", x"206e6f69", x"72646461", x"20737365", x"6173696d", x"6e67696c", x"00006465", x"50204020", x"00003d43", x"74736e49", x"74637572", x"206e6f69", x"65636361", x"66207373", x"746c7561", x"00000000", x"656c6c49", x"206c6167", x"74736e69", x"74637572", x"006e6f69", x"61657242", x"696f706b", x"0000746e", x"64616f4c", x"64646120", x"73736572", x"73696d20", x"67696c61", x"0064656e", x"64616f4c", x"63636120", x"20737365", x"6c756166", x"00000074", x"726f7453", x"64612065", x"73657264", x"696d2073", x"696c6173", x"64656e67", x"00000000", x"726f7453", x"63612065", x"73736563", x"75616620", x"0000746c", x"69766e45", x"6d6e6f72", x"20746e65", x"6c6c6163", x"6f726620", x"2d55206d", x"65646f6d", x"00000000", x"69766e45", x"6d6e6f72", x"20746e65", x"6c6c6163", x"6f726620", x"2d4d206d", x"65646f6d", x"00000000", x"6863614d", x"20656e69", x"74666f73", x"65726177", x"51524920", x"00000000", x"6863614d", x"20656e69", x"656d6974", x"52492072", x"00000051", x"6863614d", x"20656e69", x"65747865", x"6c616e72", x"51524920", x"00000000", x"74736146", x"51524920", x"00000020", x"6e6b6e55", x"206e776f", x"70617274", x"75616320", x"203a6573", x"00000000", x"49545b20", x"554f454d", x"52455f54", x"00005d52", x"45445b20", x"45434956", x"5252455f", x"0000005d", x"4d505b20", x"52455f50", x"00005d52", x"4e49202c", x"003d5453", x"522f3c20", x"0a3e4554", x"00000000", x"4441202c", x"003d5244", x"000006b0", x"000006e0", x"00000728", x"000007d8", x"000007e4", x"000007f0", x"000007fc", x"00000808", x"00000814", x"00000640", x"00000640", x"00000820", x"5241570a", x"474e494e", x"57532021", x"4153495f", x"65662820", x"72757461", x"72207365", x"69757165", x"29646572", x"20737620", x"495f5748", x"28204153", x"74616566", x"73657275", x"61766120", x"62616c69", x"2029656c", x"6d73696d", x"68637461", x"57530a21", x"4153495f", x"30203d20", x"20782578", x"6d6f6328", x"656c6970", x"6c662072", x"29736761", x"5f57480a", x"20415349", x"7830203d", x"28207825", x"6173696d", x"72736320", x"000a0a29", x"33323130", x"37363534", x"42413938", x"46454443", x"33323130", x"37363534", x"62613938", x"66656463", x"00000000", x"33323130", x"37363534", x"00003938" ); end neorv32_application_image;