From 75ad3b3d06f57faafd145d8969eeda7df9c0514d Mon Sep 17 00:00:00 2001 From: higepi Date: Mon, 6 Mar 2023 17:18:04 +0100 Subject: [PATCH] rdme --- neorv32/sw/common/crt0.S.o | Bin 8780 -> 8828 bytes .../sw/example/demo_blink_led/neorv32_exe.bin | Bin 0 -> 1088 bytes neorv32/sw/example/demo_gptmr/main.bin | Bin 0 -> 3576 bytes neorv32/sw/example/demo_gptmr/main.c.o | Bin 0 -> 32540 bytes neorv32/sw/example/demo_gptmr/main.elf | Bin 0 -> 73832 bytes neorv32/sw/example/demo_gptmr/neorv32_exe.bin | Bin 0 -> 3588 bytes neorv32/sw/example/dhrystone/dhry_1.c.o | Bin 0 -> 41300 bytes neorv32/sw/example/dhrystone/dhry_2.c.o | Bin 0 -> 19428 bytes neorv32/sw/example/dhrystone/main.bin | Bin 0 -> 4532 bytes neorv32/sw/example/dhrystone/main.elf | Bin 0 -> 87236 bytes neorv32/sw/example/dhrystone/neorv32_exe.bin | Bin 0 -> 4544 bytes neorv32/sw/example/hardware_info/main.bin | Bin 0 -> 7716 bytes neorv32/sw/example/hardware_info/main.c.o | Bin 0 -> 8912 bytes neorv32/sw/example/hardware_info/main.elf | Bin 0 -> 85288 bytes .../sw/example/hardware_info/neorv32_exe.bin | Bin 0 -> 7728 bytes neorv32/sw/example/hello_world/main.bin | Bin 0 -> 6168 bytes neorv32/sw/example/hello_world/main.c.o | Bin 0 -> 8436 bytes neorv32/sw/example/hello_world/main.elf | Bin 0 -> 65096 bytes .../sw/example/hello_world/neorv32_exe.bin | Bin 0 -> 6180 bytes neorv32/sw/image_gen/image_gen | Bin 24824 -> 24840 bytes neorv32/sw/lib/source/neorv32_cfs.c.o | Bin 8236 -> 8280 bytes neorv32/sw/lib/source/neorv32_cpu.c.o | Bin 88308 -> 88352 bytes neorv32/sw/lib/source/neorv32_cpu_cfu.c.o | Bin 20176 -> 20220 bytes neorv32/sw/lib/source/neorv32_gpio.c.o | Bin 17348 -> 17392 bytes neorv32/sw/lib/source/neorv32_gptmr.c.o | Bin 13792 -> 13836 bytes neorv32/sw/lib/source/neorv32_mtime.c.o | Bin 13988 -> 14032 bytes neorv32/sw/lib/source/neorv32_neoled.c.o | Bin 29184 -> 29228 bytes neorv32/sw/lib/source/neorv32_onewire.c.o | Bin 33412 -> 33456 bytes neorv32/sw/lib/source/neorv32_pwm.c.o | Bin 20116 -> 20160 bytes neorv32/sw/lib/source/neorv32_rte.c.o | Bin 141776 -> 141820 bytes neorv32/sw/lib/source/neorv32_slink.c.o | Bin 22640 -> 22684 bytes neorv32/sw/lib/source/neorv32_spi.c.o | Bin 25172 -> 25216 bytes neorv32/sw/lib/source/neorv32_trng.c.o | Bin 14884 -> 14928 bytes neorv32/sw/lib/source/neorv32_twi.c.o | Bin 22200 -> 22244 bytes neorv32/sw/lib/source/neorv32_uart.c.o | Bin 82808 -> 82852 bytes neorv32/sw/lib/source/neorv32_wdt.c.o | Bin 14384 -> 14428 bytes neorv32/sw/lib/source/neorv32_xip.c.o | Bin 24888 -> 24932 bytes neorv32/sw/lib/source/neorv32_xirq.c.o | Bin 45800 -> 45844 bytes neorv32/sw/lib/source/syscalls.c.o | Bin 47536 -> 47580 bytes readme.md | 74 +++++++++++++++++- 40 files changed, 73 insertions(+), 1 deletion(-) create mode 100644 neorv32/sw/example/demo_blink_led/neorv32_exe.bin create mode 100644 neorv32/sw/example/demo_gptmr/main.bin create mode 100644 neorv32/sw/example/demo_gptmr/main.c.o create mode 100755 neorv32/sw/example/demo_gptmr/main.elf create mode 100644 neorv32/sw/example/demo_gptmr/neorv32_exe.bin create mode 100644 neorv32/sw/example/dhrystone/dhry_1.c.o create mode 100644 neorv32/sw/example/dhrystone/dhry_2.c.o create mode 100644 neorv32/sw/example/dhrystone/main.bin create mode 100755 neorv32/sw/example/dhrystone/main.elf create mode 100644 neorv32/sw/example/dhrystone/neorv32_exe.bin create mode 100644 neorv32/sw/example/hardware_info/main.bin create mode 100644 neorv32/sw/example/hardware_info/main.c.o create mode 100755 neorv32/sw/example/hardware_info/main.elf create mode 100644 neorv32/sw/example/hardware_info/neorv32_exe.bin create mode 100644 neorv32/sw/example/hello_world/main.bin create mode 100644 neorv32/sw/example/hello_world/main.c.o create mode 100755 neorv32/sw/example/hello_world/main.elf create mode 100644 neorv32/sw/example/hello_world/neorv32_exe.bin diff --git a/neorv32/sw/common/crt0.S.o b/neorv32/sw/common/crt0.S.o index 933035eb2ada28b88415484130610f5a785f665c..35b71517ca3bacbfb26e88bdffb1ed35113dc196 100644 GIT binary patch delta 232 zcmX@(^2cR@0;9=B#UIR4E~&-YCHV#V0Y&*)sU`8jt|6ZBL7u_Rx?z(yvWRkI6jc_N zT8MGZ zCPykLGe%6VRZwTVGkGbHtet!oNY0r2RY9FeMQ*a3q8jTC76yi} w$)1YJOaiQv^Ayz>LncpERA;oAycbBuOnwR^dnWTLsk2tdF)-|zY{)7M0C9Cl(*OVf delta 218 zcmez4a>iwX0wc>t#UIRyd8zqDWyVJO#pU{`6^Xe8IjQ<7sk!;_NjaH$+3`84DU$c_zOFk~)(`mDCwyCfh2hvwFxeFzlI}$SMo~#<)b) diff --git a/neorv32/sw/example/demo_blink_led/neorv32_exe.bin b/neorv32/sw/example/demo_blink_led/neorv32_exe.bin new file mode 100644 index 0000000000000000000000000000000000000000..7527fa73a377c47805bf8a70fbf0b36978d8f617 GIT binary patch literal 1088 zcmaJ=O=uKJ7=1O%~Zy2l(w%xyDZlF4A`@zn&qEbQU&x~jhVzVE&II(>I% zwB!MQP5-8nF%**^F3tn6<@iW4GgjBNAGf%ctQ!R6E73TL3$Sz8R`A@L53()E! zCYoz$zt32IbZee$r~lqG<1NPc;2zJfcC;6tvxK=ahicx#dYQGn^E?~uXzA(!8z|?T z-XxHv|BJWu!%U)^Bf(fO!8JYUg!u}>A7_yi@+EW8%Or)MR6Xj!ZkAZ9fFwA|yhWIS zImQJ1-rLI-1geFzE(a3ii&}{9J%z)E5f&=!4M$v`+UxE1s&8%`+Q4$4Uwk!>#nX(Pd4j$EG|Rm4vFz{)tor5w0_{oI zNeSlKwJkqqCVh|Jr);Yq=8>P-Xs)#*uZHOKQ`BqsQTJYAqq(rt`1gKl=3bz2qn1|l zDJ2(xJ|w7>PX!F?t_x(zV&u5-%lZutFZ5T zq5JyKcp&$@>S2uYzpCK8*HUqgd+3kiEYTyPJKJd=@6nIDJ&5k)M16W4(U}2J_gm2a z>B)i}EL9wls3?XHV$jLX!;QP+0F HwTu4>_hvrb literal 0 HcmV?d00001 diff --git a/neorv32/sw/example/demo_gptmr/main.bin b/neorv32/sw/example/demo_gptmr/main.bin new file mode 100644 index 0000000000000000000000000000000000000000..1f1fc2a5b98ea9f5a4b076081106cc24053ed5ee GIT binary patch literal 3576 zcmaJ^eM}tJ5ud%?y^TK_U$hWhsjAoP@nMVLvyF326eo8vA0?_|Ou&wkM&z`t3CeLt zZ;#ro+UVdouBnp9){;^+QJ|Goq$*OWP=f!clq0c?qgEAeoscwDu?s3`;}%>4XA^qY z-`ibm$Fcgyk9qU)W@hKjdvh2K3)O=I zpl0vsH?*hvDX9oFm$2lp*^-w<=zw{mb?mzhk62~zJN=ryullt+?K4pyt(Poc1~qu1 z;8CNzs&tcDe1Z(GQl-@jF2n1oN)`xYE>(64+phnXR&ToP%9E zUrISs^uSIb`A{u<1~!aI@*9cl^DHeJ>=HaqPi9j^o-`&5#rJ zEwH@IbT)4sud9;9i!S8&J=@l@;i42EQ^G!Jfg2qdChf6d;>!}NqjfkR@4_nc#g}Dl zzSQPTiB{EO77zWh+|@*Uyospn4AqYH>(VmG1~@Ww%SM__<5n{u`HCKJHRW&%0FbC6`)!#ic47 zuU6i}sTJd#y8S$-mS5u3(kmQ0M^hRh?Vo9vzX)egb%^CCrJb{HU}DU51*#8! z$7e3rlJ;XanOQ%XZuCv>6ndcyeROYClroa-gZ2CL=k_|y`r27fuzRrL#Nna~gV!B< ztf#Lz_E>Lm))QE_WF31K?3W#Ttf!Y8dl$=>_m1;8b0JyWE%0hkNUEH`mvr;Vk|58Q za(uFM+{Kr5yOL!=7hle~lI7zZU)jwiD}x-rgX5Ar&|4fQvR=3SPOx}ux$=m&mMhj< zoOK%OmbF~59?T|(xnAf&gP?=U$>DCsgN`$P3HlUx&>-mGBJ_+09cTPi==;Ef20;h^ z13lwG#~FVG`ZV|}$Tg>yZ{_55BC$K7Za;y$aps*dye(=)H>ssNIrI-kMVwuhM$?PLpFxibr}MZkwKD}(dDM^Ny{L&7HQ9!mY_n?Oa_(A=`Llc@ z7K_bdz_(u`al3O*Gw7#%SDha9BNy%815Q6$efr7m{V1o$Nc+)jvDP{u$Z0=mFU@}4 zOdo}8QL%ldK0u6z<&;443)2g-*!wQ{z1CYLGTGp~DJi7AvbZry3ErHL^6cAi*JJ_NgB~zSJXPR7)6NR)y>vP8@C^aKZIij*wrTl?^L?M-`}PZ+)niVMr*RHDobTIl z({}KZqyHHC`;tv~yZZ&sydZG0=q0{c#M|b>`y+C47H@j9$Z4*u$Jz6a|Ep}41h%6O zFb7|>dtj{gxg`l(HVM&L4enYY*7IYW|F#bK$#7Y+gKze*Bjc2kYs}j@>!* z=e)g6y^s6xnIr$*P5adEVeiy5^#|CmttU~hEl$0*IOlU7w#&8;@sF_0IsX0x-~V;w zAHnz98GQ`#wr6XZ8Q}wTYRaT^x3?J1-ZOV2((*8+iAJ#&Dm=e!DSq*d4HJ z|Mq2DSxP*q4$XbZ9`&+jrs~Cg#09TwQ{0kglFljES$Qhs!9faHQ!Zgeh9a#5OF%VTtIj{KO_ zjH=4Q3i{;6JT~2Pd2{*0P1?`g@V^Ilu!!WN*-bcu6}h>PokiX+3548MMzeD?^SYE% zi-%U6_a$%DNn!5_z5(@;1ra?vM9FHqF4`N|drLj=M%{?5{ltsFkPiMh#tww|D3$vXIH%3w@nGfm7U-GzS3p1N7|J5 zb2{|cth6IUEY{T-uUwBfVV-CN_$_b_xBy%PE(6y90X_LFD1!&kt-3W!-+ah`^krGfE_U*mRPScp#kx*AS&d#Df9M;=#2<K}q2C|Uo@>l`o@E7-KA=E(Dr z=+TH0kA*ssP?w=U$i$>P-BiE7=0I~(3p)21##h%Is^3@Ru;3f^H#kg%Qvdi9O?yds zXa_qbbQMvB60ELn+)H8nfABgm3-sfi83Nt}P64NZNnjOc%G2W%; z{-dvZ=hC8aS+8eYfjn<+@w~6)KH-ha@|e$`nDD#}Uc#%YuU}C=Gk0lAdrMbyTW)7h zS7%3eOKz%lQ%hHFdq*m_byH_s%chq0RLi=VLp|?{GtOOF*H~M>U+%h=O&z&)T^$>` znl}{;ZS2^vp{=DmcWGVqih_=wRA*0WZsC5p&8ggA*{Qib-L35#a#I^ykdBpeTd|3* zuAa_R!BA|6`@;QjbuV2BU$*C6%KB$z-R=C&uFcHKE|s)s9qudhyF3q4Z_C2^JALO% z<3nUX0fgH=lF z#?6CExG)Fp>5aHCaxBQ{VE)mj;qK=v6$UrZWMEqn487iE#@9E_lo(hnES-sFXjO;4~lt6%=g4REank0 zkBWIr%;RFdFJ|{3(|e_DY~F{M_o3!pkGK)WGt#_|GVlLqqsl{# zJ#SDr^m;&ID~0h4F3Y}~9-iHZXGmf8v-DgBhrHd$v?+YcJ}R55z8R(ef?iY5pyHvQ z(0y;@8GLB=Fr2(5eofC*#2ria>TGkS=y#bDG`jq2??mo> z5;&uDi=Rqthi~Xa>l-@U!VKof&4cR0a;E1Eqk}tsE%ImiM`sK!@MhA-euVZ5Jui>i zugEe@L&oA?`wUhc`;u8-T*rUNO}=A2L;ql5+{Z3Yzue7vaQ{!aXK@2=@z-aKB(q(N zdm`Xy5UQ9M>K&ftALAEh`NyKVCLdeZy83s_NM3z@Q4v{z88#e6FVF~P1R<)Ng>C8zO zA`_7LpkW6OD<8%zgEIZZ$U|oi8a6YtdfY)XvkuNGpPB6=Vekm%6UW%EsZO(ThA$qHaT-RcWnF^f8UYgh9zc9 zow0J_7=JWsIKegt=A?<^y*ZeqCXMrl4cqPyLwjVE4`YFoZSz1@k3$OLxBp&OkCXkL zTHxu%pxg7N^>@-Wz5nXmfrXP!HBGk<_o&`qIdSzc?2y?!P7hb2rn%g$X(K#uCL49D zKbftTr%S3fP2ozKH68g)+nAC(Z?<%X=j|KS2zxYVaxQi#UzbA{_j^vd6Qd6k0IovK zwVWJfI3%;L&&1sDUhK#mx;Sxzk_(s3)^cd(|B#wd)-S84voehVmBFprS{VF-w^I0A zO{1A_AjoAa*N@V?7kydvICydO{prXNG;s2R62J`Ef39Sg41Y$(D9j*wVSampLozb` zEo+7+$M!_8=Vv7n8JH;i%#6ebJP!C)`dKrw6X%^JNyzjMlb8wj7kRT2J51Ju&lR$K zTp1fkB#b)?m(N;*u}fLQT|M@gdL&Gi;n|5ZY+mEYP8gHOG|oZU2~&|QXA{|pY^Ef# z%)7}YYh)Eid1M`1687{mQyaIZiMP+>y72Gn=l3!&DZFdava*aY$@okoVbpg-`dL|t z2TiVc@29gsys(#*4fRd6%j#+?SFBv#SXCbuE%WLdtC||?E9#nRtCp{7s;sD~@l3Aj zGyL;=CoQmNiWkm6cTu4e8|tg#$dn08co;lN{hF8sI4&;F0}O4H=XU$Wu1JQ|_(p z?rLgXm!1$}|I#`pStzc!4P2(h4VCp3jg`w}cjNTZ^c7qYwbfNkORDRS2nwsbx{CVh z#-o~+uUKA{zQu4r`-KDAFC5T*;ehrF2ee-}p#8!D?H3Mczi>eNh2`GzsulICl3|k! z(5j}E_MS~VQBRJzm9)n#NTX5_C&kv1(`~2Xss_@S2)>@Iho*Q))n!r50-a z&{oXGvrU(1ZE8F+Z7*EzxRT{|4L6KJJ9Mh6ytWQ}%FGW$r9bM#n!ZS{7bY5$DK0le z!JC>}+l{}!TZ*&8HksaPcJ!!KN~3|XvZB7R$&PX}INDn}x;A6PtnKV+>TYXk>5S*6 z5*ev=wRIJX7uVbQ!zmg2PRY1;O2)iXQVJ)}$|Xyqid5FDsI>E7G)Nmc;ObY@cpExX zo4T6Tw|0G{X=8Kyy0(@sue)_ad&|1qwHuqeynbEln!PB!83N22J?(nEv zY~ACg91yAlB6UEZ4v5oovz3N^(N$e1bC4Y(Zl{XvSZZ8VRcY3;jVd$cy5>}~)Yy*J z+PeBx>AS#ybVr=fbKNa1+`PHDwXJzgTT7e;d+c)Cio2>6)p@4%qx7KA&Si1)1a9hx zT{gOEE9xtkRol77?j9yw(n34*3F<)PkYNDT^(yrY)L`2b~n%K?rd3m z2v*`MU28WU!fjycbh)>08 z8{Ul#RW((WHpw2;Tv(ez)nQt6+D@uNw4|r)q&hrHdfHB^L$jo(?W8&^OFDM4p{}B` zs%cS0L;uc0a7X8s4NdBJ?1HqXxhqxJ)ZLQm!K`dgOiiKckSE$5@Gz05Y_s8fwV}FB z#zfk2NDdq&t~Pe+DXhccru)QxtzK&LMDt5sQ~HRkYpRJx_=e8bj;7Am_NJ8i_BE=B zIlT((+~$U3TEnLeuC!)P8(L|No;I+`<9Xi&!QwiZKi#=TnccB?o(hx8qWzGD$5+N{ z%`UhjnXQwX5W{$f?4*Pf^ZtE@BD(uEXt`{6$ ir473K^+~(iK++uzq=(Q!rX$yN z^q47|Bf_5Sx)WE92D80*zoeOCE$tj@*{N7dOUGK8I@Z$Gv6iEmI-d&csd+7SqqYh| zkV7}l&*bU>5n;z*vD;(Jbc@y4?Ki2pCYEEE3J-3u(Qc4i=deR3x%@oE;ROcPkUmkB z!ij9ZdAPE%A-&%ATvuQA)%H7Ql=HfN#njtkTy0#Bsx61=th~CZx~8UTsXf{4pUF-8 zi0Ue@I+{1dE&GZiw3{aEfDACG>1teD(O4lfp(+)L(FC+Iy14DP1LjJ|HK2rdM_0-; zp*x60MVP~Eq}cdPIOL=`f^WQ+x;J8f%sF~g z(Q0=E&GSriV6`XAD;B%+7isU3f$gnkfUZ=FJ9}+vZSPJsx3xtFe%EW!sjsROukPI` zSXfx(&cfW)mmSxo1GjC@XH`*!1IBQ=Lk{_BmGtQ%NS`l)^a&$~=Vte*$$*RVAbr*d z(ymqQ0migPzX6B{8W@WqHV}0e0>Tb^T-)$OaAiZ|irOZ3N?2L3(jIqB5XcT2lwEb9 zSvIgi?a6H1I`-s3Inrawo?fUN=?yyIoEew@9BA>OTdh3s`Z~QKanav@P={D2ZktB5 zjT=k>&rK>Sm)S!fPC1uUGRxe*xy{Nh|%HwALle$_{EmEB-ds2Yo zJ1$M7(!x?-VHq*PcF3rmw^u1h=0$Q;hPh%kTd^roDNK=KY>EV!6%t%lNMKnZ$6+hd zM?qk#5m$_-Gx0E}vLWKm<7_|2*)e09tI22;Gkp)3z2hQHdiva*K6R(h+{J0@m!#>^ zG+maa%f0yX-_*QzV{7|=oE;aCuJZg~2>alU%oyAS8@;A=E$f?m+EPua zQ#xC^>F7?a!_)$@8DnZf%qYb}yOKNsR?@T^w70af@}S(g8^V^B;+CRhVPR0VpS_^w z_ZR$1l3xKQJpXhbU#{bO!+y)+k)xM=)nEO@GJLc61!;@F>Sx@-#0q|+Y+BFrc^_lu z=qz*59d;IagZ-iSGOGV#zA~|{Os}_3r=l)e;;vKqX2>}c@R*wroCE8R1;=ak_VG*d zc&*+(ekCj4`*Nk;K75_6zG>?1I|v%ztJZrGGIkdSm#^mVjTMZl6p|@`h zG{26JrMIsQ5Px&j+jkOl+z7pWTcOR@!DfZtz8$dm7SJxeeOH3aH`K<{+jleUD4WXq ze;aJ>wy^2ngB_#Np9Hf_Wa;gD9)K_Z?9$u!3dnp1Z9KhwdtuG}r&hlYJHasDdiy@b zJHD>8OK)E`8ao~jy?tY#@f~QCJ`LL34`DpLeRH7A4Gva^(B?)4s}F&WZ+-3UTL!(4 zEsfi6fHpTR*!;&q$4hVD3DB{tw=V^u`~OU6-T&L65c+^&(LBKv#0leYiT^_^+y z4=EiXz}y_590?Vo6Ow{HLb@ix)>9QzxO z?`$s-9}H3dY;Q2M?*HM?dc2J8mw%X-3;jxTK+N&>gO1~4yjR#S|9Gzydag7c-bZ_f z_Ddh@)j(er9e{JZ2I!wed2+m?!OyGoX6WANfSBWLgdP(e;BveU=;fJigE`)2=1RX#LZx2-eXmO21-&CWK<9YZLjO2A0OfeMK;NqBcPI4bXqe`B_d$<{ zhH;MfDD(}{gr4I)3B8YM&u5{ZR{ACAwJQIs&=o>r zN`C}BTj|fBix*_dMw5q7%{_uNeAbH9il4 zJ`#0s21&(mEHl} zqx5CaTa~^J`XZ%og}zqlyQoz>-48tqFSoysfu}3|6!-w8p97aG{StVo(yxNYsP^9r zeXSY~??As09Y%A!kDy;x{qY&}AC=BRUBBY0hj<eP+oqb;(n@1DsU) zWbk68zX~>G+V#H<{fsS*S4NjYzp6C*@;#+*g^te;a=dRtk5l{iAoRXU?}08=`f2ED zrGE*1jMD!Fy-DdepyfFTRs!=ov^)VL`tQ*5qic>FuMfIHX+G=Op!68%7Nw^^pQQ9G z=<}355c(RWi=ppQ`cP;d17*qamO?+EbRG2VYJ46Ay}uftYoLFr@}CHOf*Kzw==o~A zp8?GnaN9cv`iIzOr!Ru8#LMX`!KW*IBe+N%&%X)%meSvaUZd*wDD)nspMrisoqzlc zx=mcZlRDV`NzoGi02Ksc>A1k4+QtKZFJwxT+09~fqs}p)3 zrB8u=QuXIn=oghfANmcYcR_!s^mWjgs=sc7&Qbb1(6g0(2>Sb~Kc0a8xzay{{=L#K zK>tnY-$Ex;f9!?MQTkozeU<(P^cK|~{BWpRr4NBVTIsRSqgDS-g`TPOZ0JzwdC-+g zmq0fv&2y0!rI$fJp!)9!=pQS6H1s7Z|61sqm2QJBRpYG(dYRI^J^Mv9e$IvdhuZ(0 z&^uNBtDxUjxJ*&psW6-s#{2xK@tM=!4=tkB5FGCMki-b> zKGog_L!YVE=egM1 z0Qxw!{$`!Wce*isRmH!O%i7Nk#(66Zde--)? z#F#8O-k+dntNHIe=&?$F41KRUKJa;;OtruKhT{8b{EmaZT8)Qk&^N07*cbXERsRC$ zX0`vN&=)Gb5c*-ItD$dJ^{*uLBFOnubmQjx%AE8-zt3;xKYiQ z+o9i6{dqBTr)qCrTa~ExxEcC3rSF91Ij!5?{m@%gdp-``q~e3u#!ssH{v7%tH6DHg zy;NyFCAd@7m&dYhRsM(2PpSN$K{u%W$;P_(C_M`L8!CP$LC;k6n+biL()=Bcchz`H zLT9M{Uchv+`dP7u5K?9h&EKu73AGpQHBwVd%9k8S}{xpVKZKVn z?|0w{s=PO$*Q@dUK6FC$$0yK>RDWflEk0H4F${dR>JJ{%hhK- zxKZ_28T2>Q_9~z|RJ|E7Uc{*h{*pFlsS=FeY1$6xs5 zc&|W@j=liO@%{*%tMp%?3zhx|dZE&vL)R%i2z5PP>Cw=gN>7H~qI4egPNffku2Ah; z1YNK6A<%1;UILv`dIj`0rB_2=u5>eWiHhG7pg&i-8=7tI+W&OuN;ST>L62AET?k#F z`s)hl*HygkhTf+7_YUZ9M;(~s-3xt=ir+_|<0aGk0d!I1$n>60OaD1^w0V5K`s;pr zxH-3pzh4{f?L~U7D(^4Qy8K?~i&Xso6FOc7c|P`Cf8IP8dP|gH5Fh;YwktgW`oKsJ z_ohQ1tn_T?!<1(1>GebCol*KAuN?ZQNDucGLa$ePDRht0%hT3h39UcxJ{CIaUVPuN z26}F^{vdB7bfMDi(2JGk*lSe!ROpRLZ-L&T^tsTtD}5n!uWIirpkGwuZ#VQ=YW}?g z`g1j&?uEWXjkiajf2qdTlhB{4_YHi$6Y863(UZLySf5J~USqMn z&}#aKRcZRmR&z{`W&fw8$LIdC{`R!=-D&!}Y5M6jy*Ev}n}oVrHsJZcrjrXjM?pkk zM9GMXA}WrkB%;!Y$|5R{2zUBM8;Q3P#G47??cko$C~v$a+(#OD<89&2(a0Nb4L4#s zFP_4TFy0!TyNtZ?)^Mw3AcB!Yq*oqdQWZX>TulE?5Mlb(T0dp zF+~NXqHxJ^IoieMXb-!ib-SZ=yQ6ixqjkHZ zb-UwrqsHlu+NL}1_wFF7VJa#+6_uTe%1+_7KisFcs%b@C<%-2sO>)C7?lm0f#NFZp zow)08pfl+e)D-4=vrmSt4ER=vw^F={@mklire{M_^O`kXEt~1DXjqag;wk{ExlT=e zO?i~N4R_|*v`})gG_EP8NDPaIvqVgp7?uJj8b>S(r?v$l7DU@J0$T_|K>T(~82mkndRp8<(aDf%L$O>F&1unJ% z7hHjhuE2#?;NmNA0T#Fj3tWf=F2({EWPyvaz=c`h;w*517Pv?YT&M*u)&du7fs3}l zgzQBcF;NmZE z0T{Rl3|t5XE(SvvgQ1JT(8XZrVi3;{$yg0t42CWSLl=Xgi^0&vVCZ5n#39`b_0Yv& z=wdK*F&MfS3|$O{E(XKs_~l|SbTJsZ7!0FBmy5wLI(WGl3|;7jF7!g2ok_)9=!Guy zLKk|W3%$^VUg$zEbfFiz&CS5QlT`(qHFeY6%BwbV{T~sDrfFxabCS5Ql zO`I-SWVXGe%9urqOgrGjoDE&m(8vi1hKJWxHS%tc-ANPOSe+WH(_nQTz86&)gZ1cy z;j9S5V?46(sEaH#aB>A0o=3o#v_+=L7F8Lus)lQ?GS?NWYM6VKxz1Qs!@R4^6~w9< zQ*9VK|0dl;lXMeJ(oHl;H_;^B{E~EYN776^tIWQmEK|H04iMZE7&d`i-SD?1*httD zV-}fhL$M0jOS7|8F!J1Vzh75z&k?By-<>P{nSS$=G%hiI@XmRp1bGLrAgtkL44jaiJ!UffdtD*L-Kjy*!{%M&kmiRpBs%m zK>TFq&!zuB@spi@F8%YwPj>!7@aU`YhJ~LKO|c(vkzJlL@Koz3JO2Xk*a9h&?EE$K z+Z%Dn&ff^0GDq^0oqsiWs`Znd|9J4&Ldj2d{*&ns#7}nqUEnd-;0?=_cul#=pkbHi zTDYcKKiT2*|Ac(6Fz*TTnGwrh@$&C8=>3g+zwpQ82ZTQ-KPa4q&u{&Q zg!zlizWoA-_l@}vi+>9F5#gESM}_B-9}}KWeq6YO{C#0QL*?%gUQB*M_;B(MgzL#a z6h4alr10_Nr-V0$(x&mq4j zyo3C{@Gf$%Fz@^GIl!^-xhwwz;XBA53g1osNSM!J`F|IFocs^rr^pNrEPOu8|3vr& z@;`-NA%7~&XSV!*3BN`DO!z%A0}BhEbMX6wKO^HGm&^p%-k>>*oFV?PWcm9A-ehv7 z_;bk&P%LxE*}?^6`zH#D$@U?T1>_-;r;fD$RmZKBj<>JCwaW^732xR*OMm--%6e&%;yvQ$->_ybK=4B zFnOx*6J$QfiG|N%`1S#p=gIqs|5xN0!h9yd&lTpqgFYuPEPo;A3IB~eOZXG=Y+-&m zXz58{8qxd;a}S;T-Z;gr}0bgn5s> z-z~f!IVD_3<_Q9pQu1cuL&+xzSCLN^t|6Zy+(156_-OKJ!p&r!Xkgh$K0}!I_WNfF zZzi85duArvL^zv#sqk>}F5$7{ z%Y-M9FBj%BW&Rbyv&mNq?@zu;xR89ca0&Su;RWPtg%^>p6Rsv-FT9$3gYa?W-NNh0 zHwvFXzDbzRr1>`sZzkU&%zN|wTZOlhZxh~5{)RB`r}S?Z-bKDc_-gVug?E#`C43wC zPGR1w>fa@NFZtWT50Sqk{5bh;;U~%W2tPxzbGTJn#DZzumm_&)N}!n~K>|EVyax$~b9{vG*Q;Wx<73BOJL znehAM=Y{`H{<-kK$iEOaKcD_f;lV@Te?fR8`9f~@_l5av zpx-OJfc!V%O7aK7OTn`~jCqdr^ImlSBk>-v8{E7IlBmYzQ zF7l_s50U>R{3v+VXED#Qe%?Rse=h##nWr!2Io8j6$bJ5h1{U5w?(>2V3-2BGGlV}O z%bzmxJ_FCn6hC>6^$#0{Jo1D8UJhCQq>ne9JV^4-A@d><%K_k7@&|4V&$0d_^T?mP z@fMKn17Exs+#fFM@;-3>P7jwJ@|6a?)5!8?alEt1yhz2u`?`Jm`OOx~}^@+X?SKa%ASHF@un<Q^WJM; z{+N?Di){0o&y?iPJDJ}u@#T*^ncw~Jtv?UtI{!Sft$#qCD%&-mBU%4KOFmxy zc7xvj zxd}S2-ncz-zRhzN{SD$L=i59F(Z5ps^hNq|?r&BKqY@;G?0kn?Sx--Gkmcv#5!HqW2IdB@W0dE|VX=N)j~W%PO;Ip5~t z$25L3y@+K@mw9Vq&bR&%^sf^?Ip5|PPyc%Hlk;t!Y4kI&u#od@o;>w|N%Of1>!w`8LlY`rE`$&bN7%(Z5OjzRlA^f4BI_`8Lm)^rys6&bN8arN2k~ zdT^@rH3pwBBd4c}R#ZS(+c{op+Ke7uuiF}yknFcfK%Q4Tfe$I8pjp8R4 z9U;uQtN5sxi)@}kxLo-~x?ryK3tEH{EfZl>H`2Mb-tAkk!%+CU%h@b#p`o(MD$7j3nBjP66 z`s42mu@!Z9WWVILjIZTCRrBQL~@%cD4H;CPyX7yWz<$BRRg<%M{0;na17A$emy zrmwZu?Ju1VNfz_Yd|Y^LLSBd$m%qZX5qY`YL3p|CGPGE(TX>0CzzW&Lr7`q%d5y<= z-tl9lydgG?tDTFNTLfwb_hF9P10VN; z+jINz9k5#m=z;brv!cmW3(L+ky?SJT!My-Wy=9Q-~LrPm#EL zyfELH#@Bn+x_sB5QFu&ni_6EaLC7xO2}$J zM!6k+?#D#Dn8tRVf_#XzPM}$~puAkmG;Uk8Y8ZLDnQs=plZKy{C@gO@UL1=kFIx80 a;%_Z7!7i>mG=IN*8*xlJ&?O?x;eP`}(H?LB literal 0 HcmV?d00001 diff --git a/neorv32/sw/example/demo_gptmr/main.elf b/neorv32/sw/example/demo_gptmr/main.elf new file mode 100755 index 0000000000000000000000000000000000000000..f3d94876352db010824cbbdb43040d9521b6832d GIT binary patch literal 73832 zcmeFa349#Il|Nq9Jv}qhj1FrgTfWDZeBwir<%<}w&`6d*vVx_f%Y#M$Kk z`R(ub^FVi1y?XD}t5@&6I=WlFwyLh0bI$b7VDB(pDhIG;A{XUy7G)-z$?{nQDeH|) z(iDu%R#_iXq80SHPW^jXjL~Hg2yo3Gr6GdTZvdB{GA=qQp8lQIz-bMf*1%~EoYuf; z4V>1%X$_p#z-bMf*1%~EoYuf;4gCEY=x<~t{kh9a_Jh^%(DF$C&5b2vrm&$1-}b?N z&W47!Ra_G>P=_mmjsGyN2sZk|xFSKMxFQ)yaYZtb;);Zj;);Zk;)-C8Ka4AqjTBcT z2Pv-o1xR;2{=yhP+VekgY(LWB>#C0>ZhD+0F8&!a@3&Y{^tZsNViA8e>pAlB2V(-j z4FujD-d(XfvE~@+11u6)fx7sKG5O%_iyhdHba>}ucP7^DV>_Zg_QsHa184Gy2o52J zalOS@2KBo?*D9BEk9}6s#Xg&kZW#JW>|}yhKWohGK^ZzaQ543J4y~T1(r!UJx!`7iG5$k3Sybg0Z`(W701zwaz zR#$`P^3WpzmfL^Vijv{L5bM7yP$K$psnm39U%{k1AX8)KM&HObln*KJYaU2dj%o4xbZ7d#xF&I;fe(nD9 z!QF4L9rp*&R)7ik{uu;+)D4HOhK8Sf;l#nfQPdscuU&QQ_*-mfYGR#_^)MEudgAd0 zc=XSJom?1T!}|k#_=NzE_*bxZrstLn|FN1SKH+D>#}>0;KkR1b3$TS5u%prCJ?v0G z@*sPE`Oh-Ho9tTUpCmtcU$w(~jvV-t!bkq)_Y^+zlU4pn-!UJy#kobcF8Mx5?P*y}w5Mf7ezMB%Mn0}(MgG9C9ryE{s7G3X^uQl? z+`o;=NDou_UDWSF8EFO514mI$Wu%9x{0{1`Lm6oW(gXj6dMYD5Oy##xzZ>PZA?pW4 zGpF!KhQ-K7ie~SHZ#@3(M-W?z=4@j{(@Qz*2R?oXKDYe5;hj5Q8qPR$;^2kXW9*Kx zgS(G1^Ksay@39l`m+IH@%TGXm+$@GpilLKP(8(;J6GQph2gFbCMqAW2wE^CX6U>^e z{PYm)sq!6Vql+O+;t%_kJqkPhh1VV%aEh9#~G=(-nxU#8kCb7YRcE@a+$RM?o3@iC0UeAU1C z4(@?ptNNd#e(sJI#O{4QKKz`IN33FIuCfr@N)Uf69yx}XzRKbW<5;eu`S#+mG?y%& z4LiU*c)hfN7j`ZeHW2ue%Lay~lMRURhaI?WK=P{kH`ttkeovES>j~K7f2wwnfBE+c z?Vay4MSME2+=OYEvFpmV_8;JXNJpzAXGInFm zAs-O9ucqQg0z+$x?s_8yANmq=W>GQx5pyu+wmJPbUx+!5G0asZG+!0X!8{_?E6-v) zeelP878y92^VX60pI$56_W@)_Y}=;hVma@bVlJ9y&qbmg)dtOlMN#(tjv4HO$WGV> z=B3Ck#BrY=c5o<%^zsPi4YHF6tN3z4=qXBZ1$}_NB0dW?dl%aglX^+q30jvv z5Toq26Q3@I{+@+@qb(6D4*Ur=aXhioG7{gleDkjR+^(xv6?|t`bz;j`SYq`d=J#Lc zf35Q?AMmQg>yNX&H?F#I*LG|ByYFuwx^DaT&Y8Ds`}PIaUCR?I9%cuvhnF9IgzndE zZ$F2i+qYl0J@KT)615il=wia9+Se|!KA_qQfb%eLumodOO-&6=Q=%){yW9I(JEOgW zeZ4*X?a_gbuJ*oYch5kyqpP>Gy{o-@puKGh)~4wZXRc^$sc)JUZENr9i8l82Z0u|8 znwQzqvvFf*dw+CAV{OB{p230M!GW12v!bm7(bAeLqJ#Y%-5a9=o7zzi;%En&=<6Ho z9hjGib~;VQ9>(58;Bia&eVxVQg7bOV!y?#%jC!2l@sR106lx z(bl%MzV`n9XjeynYiGyC?)EmuqRXR=m1lYI5(z@v&^p*TKx0wc+1b7kgV5pdV(0d? zw_euU1LZJQ*VEdTj=6*1$7ijCNFA5u-uPQIaam0wz5hUQEsenRE0Uw z+Lg^MXR+wA`7}zfD#qqSD`K&xvsfqg2P3#%$F&b}<}O?h;(8RMzUq^r9 za>gek>&vzJE?-dAQPx`$@1k1*Hx}fX*y6EbTm~+niTeZ;<{-BR4|(?qh`iMyG1xrp z1|^=WDk)n7`Vtpp3(Dyp2`>};zLcZ+?NNBQdFWlNlCn1l`aAP9{ZpW8#8n7=^(JA; z!XCh1ME!c~cd36jASF4!fpkq8{J#|xhQ18ey+F%zGWvY%vq>MtNFPjt^eo_oY2c>{ zdK2{ji2j^vdnd!2fC__sZn;|@WK;Sl>wjd|FNb$rr7MxnhNW4I3u7xFr02L6gf&o{QuxleZ%|8v-IZ$K)S_ZkC(;Q|MTQ$#;xm>|B%Yg_0_vCFmt)^4m~% zm&xx#ayQyTa*xTsf#hBYkK|#Kzlr1vCVv;n7fo(p-*wRBIY_=_@(D=3Z1P!1zGCu4 zNFFhHC6Y%?el1k~Rg?Rn>#vzSAIaBEJ{8F~OkRTIn> z5FISBa7er=lojF;w2&R*(~#tZ_+livAzq7QRES@MBrn9fkVHZ}grJ!p;uDb+g!p_U zqeHv`$(RstMp78!?MTLk_+}*ILi~Cp<3s$jNG62%=aEbd@%zxfNg@6fB$GpY09qOf z@qdR)ZAZrd+!5lf=f7gZhKcg$VLwpKseou(6LvnqHzXO~2M2O!H z@=u};y1pUAuSIfWh!4W)Zwm3>BKcH^UkV4hIm8cQ0QN#5hy=HU_*1Zg;Sm2l>h^{B z8~|?(VWG^}r$hX6Xnj9Q_}v!b6b(KT;@6>kdx*b_u6#DcUx&COA>I#h?+EeFpzh8P zpA6uE5I+WySeX9`i4o>IAg3ATbcE^)^Lvq4VSW&aKg_?2BoO95MG_42*N|j{`5;8f z4D;)dgu;A3l5m(mfFvu-zltO~%)gH$C(M6=Bsa{5(Cnx%KY>zSnCGIKkuZM(Nq(5W zfTSSI-$XJx%>RUBOqge)w}oLo9?94+|2+CIF3i7$WPF&P1K@-(Z$vUN%wIw>Da_wO zGC9njL*IwO{21EX9_EXotQ}##7f!M>%&XAnU62#Wbz%Mt6tX+a>w&o^%%_3%^={R|j>+(SkKc;yS@X(J3%qzX`8h+_~{v+zsP>NNpNJ;OH|rgtUxhXvuFRfEZwbAEBD)D|~Z^ z{sel>jLm4rFrI;2CJV#>CyW|j3t@Z*k~4$j&W*1@2E#ZLGMlV0>a${2#v$O0s;oPo zRau`0A`5OsZMDezMm0B7vK1m94EBr$OOX!;r-4tc$ma&5==FIbpC8(3pyv)o9`5kjRMhy4y#wy$=piK;Ipe*xF zl5snPU>V;8B)G&+le_u2fFz)xj1vDd1i2LudApnH#{CIw{A>M0`i5QqW2(w{4m}Gj z%={C9zbGIKJr17j50Rn1K#=j@g_UH?7vvci032NCUqH3Q+-ZwVSOo?7*Z3!14TIx- ze!Z39BM$<5k_o;9Lc;ifxd*V!F(^k8}ki;$+jZ~(r#f5TF(``g&qzy<61$S@Ou$? zL+%y~xkE7I4#AK+1Vio+e6&L_8pf^yi6M6ghTI|eXop~QjP0QsxkDHwcL>0v&4G_L z1ZGKoEfDf{;^w0bfw?T7!k8g91ZbKz1i{>6zBd6i&%;UMd%l~IW#)9`|0ME0^Eu?- z_Ysqz`71=BKZ|^rWju+(aogQkpRlnL4OXY)gV=kl)sGK#N5K95ImkMKd%=JQtpFW~P0GMaxL_c8oz zzzg}ExR2#MfQ;jNkRQ(v;y!`z1Y{z|Ge$Ov&j4gH3w;uW1Ghpb{o^CG5Hx)E*|=Lj z1JXTIYc+%PUaDbPWK{PRQ8u4+z81Lx>Lh1=icdFg0U(#kBma!t=pcCT8>bN$|GHt{ zMZ>=Er)aq=nBQzO-|H~HWeC+Q^acp8q!#p#kNg4^V|qKf8NagVa)58bl}+w&HR16% zrT}=@CVi~JM?x_501<4OO89(T4q<`Kv;zr6@YcQMGWA%z<9 z!eNDV)JFy)_Ut~|vm0{HekvZ>3Kq#8sk|^N*$ZilZptmX_Q+Kr%_d_`_CkN|73S{& zHP_?7OT@JR5g|PsSY^Tikuj55J{Iogw{}xG_`utzhlTkd8|g+Hqx@%5z0QyRIl`-xUSz zyrM7x)3)$l5-dpGOLDHZ&cR6ikMmsXWhkhZ^g%y9VqJ;yMp+W&Gf}?8Dd*6VgID`+ zLuX0^#ZQsT>@31+ZYtU8LUcN_Y9@*$RBS*ow*)+R^*J=w{NhooskBmzJP-L%xPU4` zcOut9IhIFj4`u24h!U3mOjKHW48eS%u=JmTIazuHBGB*qpv#n&9&(*dWy-lHG;In- zeQ{wOFf-*m6zUrf(9*GS0TQQHp-)Z)sJ^g|KyrQxsS~VS0whkbGUfb~Dd(g`(i=wK zE)i&A3b{_LLNm~?FB(~n>d*jM^cCkfBR~3a0^Er9d~+hS&IqaFt*un~DsGu_215sE z1{?i8K+|ZWym%UYeKehpwEh_|>+C1d^V3IKh{>mqv`!ysoj%gaMd&zvr1d}ZNGq3) zrzmQFjQh_+0RP``K9xHKlMsn;H}~I5B2-L7!KlNX8yDhk7~i6a(fA%sR>tpfw~WjQ zSj`yoa1R@sfs=1+$9;_P8?56e8TXE*eL(KMsAT*l?l-UoGX4`~W*h?pZhRH9pkaIu zchh(mM3%7_`GE0r+=Ir`cxswqyn%eCaW?WHqX`pZzA+z+Cm9c;DX_0Vf#g2U{qGa| z`@xtQpF<()&_Y7kt?wQ6_ zsKp^K1}|)MgZ@RkVQ>G39ZXIp^V<)($5Iz2uqAiF!1(-8 z)D;U3#fHWdz@UxAnS?lIG1O%&lKBw2ZLXrIXGj z?-SsC@L*9oFFZRFH-W&$JO`$Ma{uk9JM$ro9?vu_D(D{{`3{h0--sd1G$&KdAQ*pS z1KQzXGfaZvoMCzPL5ypbEFT6!_EkX0m1Q~-%=mY-qkmcE=v%?mPr;0~asdOq#MT=> zk_=&jv7bX^lMdmiVB+8|OwE}5VskIOd$KG@0{qMnz2?6LRpd8OdPPD?G_C+<^8i@O zA0MG7ICcX<6w-;^07A(J2m)8TD1HfwGwz*=U9yo!d2{cx*Ax!2PZ|MILeAyLWl(M< zXmU2A6r$1wDh+h?vKx#r?Me1hIl*o;g72e568Vs_uSapnLrifZ+b6oG`gyStyv7k! z;F6%(T-2H6a8sPSzdHLfb#c-StRmfRi$B6QJ!_QX% zwXlOVNZl4v?;2%)3KMw4pwY;pzOgyKfK4tl{Pc;%+1-O(j4w0hkPaeOqwTYef_o*O zbEO97MtrcTn34Ue5NR@P!w5qNoWn*Fn|XymnQ8d-TQPiOdJarRFrR76A9 z^2bN60FT*sz_bdCc{JLE7&S3Q#A7`wX*`1$c?O6oMa4&$jgZn_ZcO` zijA|-i71pHe|#h#wZfF9b79nHp)vGp1{Vf+JCzb_CKrbFMU=89O~qqPiF=sJtI^br zvU~*P`FNp)ZN_Ar-3{OQIv0vR1L9}@6A-^E%MDashVs+0Opl3056K^^KHQUMdNJPe_^7$J1<57m-ZgOAq##hj8*T?b5d`i*6EX-Sp)BO)H#N!^PKxr1Mmcm+ z6cZsuG(^wh(l>;6QYFeu=~1eAMy*Eq&-5r4@QhB4ay&iCMLgqE8YKh;dLkc)WaN7Y zNRFm$Fg+Si1O8PKSd0rpfAiW6z$&Fytp?@`%p7{SMJvbLDPp9v;5Hr$)&rT1f z2X<+gbfyRINDsa}J@^ah!SwP+nkHXP5B_5kSm^u*(22+#M#k>8^FSe>Odso(J0^IoRJz4}K**_*i;yHrCN;cuz|YUX~u* zoCHoa=>F9DB(EG!txs}@gGu#bsA%?kT7vys*z#q?;~d4%>fO^PLVw>uKg*3i3j3=x z=(8l4xS#DtU!ER)je}n8MyCx=8t%It^mE+kw8Kb){uKv(xf}fl>Cu1VpjWujX_u6S zdp}bb)%ml;cBgA>%G!JkGaulAC-oCpMzfMM!!Bi`rQtCl^gxh^ytqz=qudl zZ=^>*;h@*J(MMy=n@09|5=@$@b)#3MNB^jUex4hBAU*nx5*z^c^NS~sldoHo<=>Zz zPvEbo2md5J_}|lm>CC|CDdt1Z4ui3Z1eQhqVTL(cSSY>;FIg9bI+&B z`B*>kqjKRoH&P3_Ou1T$)SxCL^LWK`HP(-OsK)mc7GCsnHy^oc9QJveaoa9fk3-a$ za4qO}iDD@g7h;L}K&GGL1yvMdTd;B~hqT>9*^kyA7VU;`%#xQ0RDMvD^J#xYxMZ&n zk39-RvEZ2sJh{lo&w(^o0Rwv_c5o&X&-@bsDg{P(CNgIcYB@4YFuOr9`$|Ryq0(Nh z)@D}qRTLjk82p=-|Hmk=LAC!0WZH=3FOlg-hOwz68|mN&C~P15Y0d6h)D{p-3dpQB zFr-y4E8Pw~_TG-1e$SncJPES0jyBeN#^(XQi8zHmZ_e`(>Prnc^TrU-90ko(f)U2+Ac~o5Q4(Do1CZ$AOk@hE zvX=VkH>rCEAU)ANhdTVvR+u|A-C|8guX-&Y5{ddt6luiHGau5Z%^H>ZBvGy7$dc)> z^Yl92Fh>h?*@FLe6QqHWb^0^6yptHP^|n?n8@=4rqLG!&o>f*#Ckzt!E4#4 z9tXIBur9#z|5IxPuX<#Sy#gz5JCKZ9#IBZ2qM1zp_{c7h`L6@02ZCm}>#)_iQdi!C zN_IX`(MF3+qM_E`HSm!?WA_-C0#INsZd0l90XTq#K0cB4HY)LD8_bF@XkfyG^%63| zSm`Z#(&MvZ|3Iygu};B(nKY~-QdS|fG8+u=S>+h$M4*%arGS9S00rVcAhrTlz^&yP zaTg&HP$3pCMdJe~uLnl>Y!vnZ!+H#)qHDzzCU&F#9Oy4lheBWtZW%NPxiBws!AxFs zFYzZI{1;FQAAAHE>4QatI{~=2gH4DRJ+Ec{ahLNM0K@k_9y8BXtvuc9=4% zQjdH=)&DLHUPI`lEsDH7b4Gz?QE(%X6n8o=kK$<~sE0}X4>nN|@z3W1L)FIr0@@<7#zSWR>!fOPPFD{It z{Dj}(mLIfyW8lk~^d!UY3m*cEWzLz70gweBE66J%5swP&QNAjTo#)1m*w`=609W5s zV2yqX*;_$2(KikXyd3u+ufjcp zZ<2$N|!Yc?|a~{#!t@`CVuzhrfk;E)N1eiZk%c<8MJZ`FtAS1^jwoj^?-H zK8C*so`pP!{8(Oy`#Am{sK)aHfKT8hXlo+>AvjFp;{cz`Mvn!EdeZ2lgIc~8b&Q7q z4Df#!G*5ubM4pY7Ch_->pUm>{Xy=G59=yuoH3eSd{^IGqtkY&)7w1!_ZG& zBzQmSKQ6((y>)o~&!%Eow?NKUp?~?~BgDc#35~pJ%y>oifyAcw< zZiGmE=HC0RwYu4FjL?^Wd>pk?TG<=M0K_r(-gT{zikkm}Y~I+ZBqf-ErXZ;ioGS&Q z!)zfL$sjU>C0LMMmI-v6ZQXaR1pWoBt&}_nIQ1qV@sBtJ2`>S$yId0Rv+(#4KOqNX zz(mioE}YKT<1StN61aK&08JxJ1Tpwf#FJ7Hvr)2p_jG!uG{xjTSd4G^4F7A$>mMJ< z-Ccyw@C=W6gsW!Y9ZxTmM%Papglmi{O z>jGr$0Dzt#${!y&7({RGMemRBqC)^agqHlz2&9E0D1VR2Z-DI)UPa~CP!602nU8YO z+rOX;hkcg|ht0@Fsh9nchX{8HN`a?AnWAw)i*+2vnd@B=cWGr4NlT5d?DALf=2<-T~YS6+YyJejJN@;q?!3;q^~~lkob7 zxbXU;R3@)~hzqYD56gt1eSr&)TaJ?O`Y&=3F}qO~ax6t~FiD`R5#%mF8y>IUgZhmU z?DBe|Vp;T@`7+n25DVe;mvQ0sd(jAaeV3HsD2l@CySUryySecCrFen^ukXcYEs#ii zJvINjY~J>Ig1x6;DPB)9h>j7K=ooqZOh64f(RO=%OaRxV_4>750%F%G3AEP}h$4^h zdfLvdAT>e*tM^E8cF}-c@%(ECEtVwa)MGpt&B^ZB3`}?%1Mc9F&PW<@Dw2!0w z6{lQG-$z}p|F{4j`6N_3o}68{I(_mwo?Klxx*w-_pvmnh8_u6>u#ONc!C zL8>{18eb#&Ed08JUZ~)4p)38z67c%LZO~JrM}d!_bG9S0$d%|=%!5KRRB2)p@6)(`j*Ab`j)*o`w-M6#Wq5Xtak}O=sGABN62}-omf&j) zVqAmV9OG&`N39M37Bp@K>^9_z8K23_>m%0EDSnO$OtZj~fV|qs5^&9!$Ilt7 ziD!&mHkR-*Emj&aa55gM;aBr(BLoXug4WQDWK9?1WY;jlfbw&gXb&?&Qu8F0Y<(13 z4<@&sH<6b{M)5rVnkjs3=)x%`wG=5=t>>59O->0@#|lRAY1xsGIeGl#Rbxi+Tu3-t zsUwJ)rhv^D3;&AnP-qViK_Avqd=HPsq*4!xwGq_7=97C}8nS{jho-3Jqyc3~yAh&UByF|}07D{)ay%dmP;RPqjdH9jPuhD#7&2m(lEmfM6Y^H8Y%Jr&olxRVz zaRQW(_gB@J6hn2y^f`ge(h&@Q3q(e}0C_5mRzzMu4AmW_Ea}vlj{Ag?eI=~JSC(`d z5q_0h*@h@W5k*+CLt(r&BsqMFU@g3Ply?6-w+=+Ol*1r6U;*xyR)rw^eGdvjqou;f zcy&fGtuRRt1Wj$qI#yz!X&y;-_3^G)HbKbcwugz*LhLzX(mWOJb&SKSZPIa~+Q$Q8 zy3iBR6glTjwS%gdCWJbQ+%PpL#Ub!tHHL!AGODzj)gcC39ZWZ7B$OOs|M`3YlSVQ2-NP;szFalScwbiYK8AZ zL+2$E$-bRWkw#7Y_#;mgW9r2?K}RdeCzp-w&X#L2eADOvl4b-Q!AvKD`E&%cggnyX zFwH?A#5CImB?Q6E0|`2zOiszFLvk{P1=D1#<{VFirzXOxtJ=_$jUmfj>x~|sa0tES z=pdJ?VpJ+uoP^6TmM3h(-P?%Mm}gR@q0V<4-dThcq?-jtCxr~xEGTDjyGaOKs3VvY zFZCeURxw@=!jwNUu_A)jCfM<5V(QE~N%w5ZjR7LS*{l;Wrer0D;3zrd6x}F7GziF1 zr`EC|9--|)kz1GUF~FMAtuc2MDNL_3(O+7_JI&I1(>7PEGgAY}Oec`cLQlnZWw!E2 z84g7dr=aQb_BnEznk!7y_VIZ_8`{gzpZTg@C>Wtqbx%bB$NmsElSrZVGVctuKu`MR zdfHw{5L#L=K8odHn~|4eW{=Ot#sez}z_9H|h8gqVQRmPKm^Pr#A--GKyk0`c_|xav zS(E^XXLOXCEcUhMqnyBX3od*`ZscUfkYnQZO=QHM_%eQpocUWS9--R@bju<(6X<45 z#)n7rH#^L?Q7}y-13;f)zQZV^ioo)l*Iz5EfOL#y_<#j4K`CpvpnmhlYcql%=5)6> zUQi}VUNntAZ9MGN6;Fr`>Rt9)sP&(gSLw`$#;de#i(0Rjq8`%u%hNQL}Qs6;MW*X zx#+MpN<${7u|SO+yObJbOKaG4!4fP+U1x7RiGxZ3(4_xJU$r4acPhkWK^$1K=idT9j zN#yWp45j%Jw7iA-egni%Et?i8R;hWM=7@2ZK5Rpj@2Wmb*L}Ft%gO19;32x=;wtS; zM+Pa^Phj8wUElaF`G&Z8eWM5>?xD_}%r|Jv$TyH;bZBJG1IcYf^0;ecY<~)y8H5X6 z6Xj@gK%~M$2oUm{L7_@nKgJwPuAk#K>5pS_%UdILFFoL7c?;As;*C}jFL^xdK=3P< zug-A)q!i|}3*jp|25+(|Q&*%2>gHp}=OjjFiO zY-Z5VniTeYG@BVTn;A5b8Sml7tX6b{UK2D=I6@(eKc762wffEbor&z{ETe{I72(|o z@ShV^$_=KPlQQbSYn{@$i3tS)slk!q&!;;L1MrEFwnM~JzqywD-DDszfQBBfR2~S(&(@`Z9)kICz=4oqOI;u8BH9=Fg3o1v4W7AQ!E2{CDYJ-QW zFdfwfMKw-SZ4^{%Y|W2JN3~H=jTKbFYsg1nEu%yYtfg6aox^8zIzIH5bUCc0P`BRU zX}usFRfnP)qp3b7s4lW)rbkN29u6D(n4%i3sV?(S(Z7(DLUoy zk9(-H(oua}Q3*kas?S3ePDjSEhAGSjs+ps0i( zL^bH4%1B2wsHo&p092QIsN@<##6~Hn1v|W4Q3*k)t<4^)K)SXzD=HxfQEl;1iG6`r z8(S2W5QM0m?f-Izj>9QpsAH6mQs{y z6-8xAi{$hI_+}dpJesf(HB`IVYJ`gPb|G6W+i9PM2qR`O$}0Tv5-g^AD_c~^?PHNb zqLu|M7*k&2$gO~c%iTakGLjXV5rriPDCEzWAT+R0FR}0s4`~h1hE%T3_1b@7a+%~N zUSi^=jBE2F)*&`0v?ft$gDsj*E(1p6uvkm6!zG2iTG7p@-J6==73qAC(w7A0yqY6#U7e+7k`|GftAXZ%H1#cE5etgukIomlBq-&t31L5Nnsz{f1Bu@SyQixvO|>tt2f;UUGSMie>=c zUoFNb;x}K?=bd*YaWQ|b;ddm%Uzc`dp9sNZ<(aGu zIT588J4O}i$Vh9uT-#ml-x*K2^V3KDJx#XV%GIlp;_49Bj(C>MZk z2~Guqf7r+TH!&vaI8Dl_?yfO{ckE4R69+xN7sRS}w#Nt50!nuesulg$N7~6625&XJ zxcUR$a&EEom|{Ma)|022%l4jP+s7$c3X_gtNO@v1K}HdRljsXXNP1~acUNTWbTnp?E?_xfdNLs6&Y#stwu;>Z@PXz^h`-1~#G#ImOUwZ#XWeMn0%m4WKVk$msS}-AYPE)c7EdS*YL_bnS+o~*da?~EJgqw?@Y|3))dVI= zIYDElb~~lLtPiRBu;Q7e(hQolwc@g5L5hK6+t>V$Amqe6PBlC^1(8;nxc8xhx_nBGd*5y z5BH0EVizL64(g2!bqIuUv)1XafG(@0tZrH7SG-1y;fQSPi*)OFn&`HlMgr`zl z4eGI#F6(2&Fcu-=hz1deQgD+1c&>al7shc4YP8TMI*Oud&1FYvAX00PR0ai_kExBY zeg>o^cXN?X?j%8$-`phB+oYZXkHP~?;?RU=$&ypMNIA|1C0rcVZ}iw(G~iq-s5A}^uxQ<6zZ~;r6!hrY{!48OlaLBQo@nfsaK81o z(L75Q@wA|kINA9e*{LR3WOoNCLlHGK6JEKMW1)|B45j+)8yAl=V)yma?xPMNN4MGsQD(^HGkmX zO5>oTO|c#T9i6fVAt(7Qg1dZk7#=SEs_@{K7)Y zwZOmg3L!^U2~_S^5!_Uhez`0wF%;A_0m#`S)0`m`8&I!Qp#yTAV|Rcawn^2`7byg9 z5}9Pd2}Oq&`!o>iDY4yCGQzmm3sTsXe8z9L0*Dj{5V;)6b^xMABjl)hAWDjm)Pq{l zYAD*0ubP0f^h`V8;2)k7QcO~5NWRdcDKTxz7h*suSGmP{Fg0bdO)1`pQj~I@ku+L( zTSl=?kR|0x0i^?bK>+p19zk{~@@R9FkQ9J3D1(bk;%sda|7zMEuSm~!?2<+#pxPq) zq;i&QQ?bY25f@N+s(htHM{(#x1HJJDKpwOPhqw5x~m5|&Op7=n?EGZ!0be~mFDf0%U@0+q0|v<*N$zTF@+BP z=d4`rb54P*Q(d_{;-dMGm5YcZk2$!~N8KwIvIsphAdE^&x2I%Uxe$i40FbjG#!5b~ zPW2>p;;)9vUb+0*D};<>N}$s#7dbiW2@Ov1fB(wmpIm1+avndua-rp#46FY;S1#3b zoQiYW*9d5L)vT1bOc68$s9WJ&W*W=RJ9GgS1?Hc4xG4>>MwuqOKR zQRZV}fT%lSdkujN0ZD&P7SF+va6{2bRa32oxG^d3KS?W=fNO4&58Wjn-MYg~s>qkb zP2xK;$R24)+_i2Tl@ulPjNoPb)Z<3O%x~%_g zg{Z2hH#gTH4|yOA@!ujDLF$x5O-?Nn=KC|Q{!)l zfzaK5X}`-e4-0INLY|fsoQL^xM=AUKw+22P+zkh@32& zurlJ~raM`g9@~)L6)SvtB=aEhG^W%J;=mf>-|{{57uI_+J|Gc4T~7!3)K9Wqw;Yb` z9SrQP)d z*N1Ki4`=PmzBT95x%)@mmiL*+wv0WQDf%bdcro^Ksjnp|e3rLeZ`@)I`}SG4`ad1m zAKb=ogV~XN{}dJ{P3y&)pQO=^9wV?6Ki3!u8(97~j*;f$)zjZw)_T_bA*Zcpc%^cr z|2cZDY;KCz*EH5wHmq9NQq@#eUc;JNs^Tq86^-%ws+Ftbl@)b$OkmZnY;I|)Y7vEG z3aWM>3u`2Pb4x|bs%8}G&W|@XHCM*VOO`GYRV}qEs~aSeLsq8fs+;P)O{f|NcaclV zx_CupWmR*tmtSc~3ZygzQkDW)kOC=Bfhq11?vx6Z zYb)!jlB}e@wz;CNcE!r7SV~Wos^A3hfi=>?>(>C^i@BiGtJE?@8$+W4+Zli*UR+IW z4?w9@xKI)@51?QU+#0DT~JkJGuv!#0OGDmQuLr%amZspH;o|)_BW> zo?d8dN64j$LYq;UGM!qTHcA=95O~Ewd=X>iuqy+AVjK;-jv272l zDw8fasEV?`|1q{4FI%@(q=riMDUv3H=WFTSCp@8j`Jt=(;%?R`=?TC?TeE|nSMH8D^6~3c_G=ambZzZQglj4mj&Y0qhDXzFww9=g9K(&oB%qVlvom!~O zq-AwgrJzy@l)WpQYmA4Xr(q=FK_MWY`wIz-9bT*HMSC5 zi&hTVbX94Iid2qfN_AjxK+1ROE1D{6YE>jrJwrGZrY!~c%Iq~~g{!lrMb#(SFC_}!xXYPi!j?0l780>C8 ztFI?NRBo2jdsY8GZI`}#<4}^u0U1= zrL?$ab6IxgB3YKMNnuWwoq0%>9kZ8Z$LeL-F?v~cY+jZflb7YCELLAtA8)Q*SLLjj z&TXoyIKQ!>c4dnkPGuR&k6W6n>Z&SLm71V*FceH(Q?4v~I_a8jWxc19uBlemdphZw zW@WvnlddUN)}xcnjTMzu@pCJhmBFem!Hey6VsqRzw`y-3Z0#E;iTAe;3?kI3MW$ft zn!aRNPhN=514?aJdp6fLvd*6FjZtyK+?J%#MOqpaV@et^hxA{TgtIuwALI3njd8F2 zHOA{~d*0aF(G&0O=#CHcY}`oUgM`+BqErPjZC)P5mrHD2>ruPMv^+}p7?zBru|^pi z^-^Jp>WdSI$`;hvqDx)(4i4a-3xU*nMp9zvK9o6qP*Df#>g3@9i~b}XE|u+8SJzdn zXm*ddd(EvISfCnEWsHd!ySAP|5spcpYOSi*on!!_J*Qt%vrbv6)+x(QIc2F_r!2MW zl%;x|ved6@IaI1v)-=}jRj@izp@UD=wJA=Z%xElLBpJOS4yQwN!QQ+Uk>*d~$u3#HLBH(bDJ6$1LLklzIVWUcdq`pxg^c_Cswmu8z4k-L>vu zO1enK>)(X_M9HjdL2LB}Sgm&(VtQR7t#nbUnq7|HH_)yZpYe|F{(;ucPJ2SvgJrK= zU9!-gTrZucV;hQCUrY%Bq$&GU*3NaaLHX)1}hX{kPZ9uy6Jdl_9((OPtCk z6-PxanyeAftLz9)zU7Md!tQEU*3_O`+hXJBD8IR{V?ZrBs?_9d1YRj zh|DV+adlp8H&9KRTAPR1;ffxr8k-B%NH(fQdXy?iZ&C&6Nva^{TUGFmf2k6~5sa2C zjsa9DpU`_fHO>yYJ2Hw0of4oOZlc~Bp1sl88=Mz<=ofj)i#_Efp0X1ln%6edv1T0q z-lg34Buzukw$zPB(;_wtahe}(i*Tl`jhOk3ooo~@-)|gQ{$B@l298eV@c)O%8JUGRI&?eld&4& zn5^q?Q0Hm3xvqBQ`Ci}}Ox(%38(?%6hGU(ERV|IHTH-ae$@SDJuWHY2XlSWxsEAcH zdHFXt*0S1_ijP|$8a$j9#<69utyrmW>Ka7j3(CA~XmebW4p{01BKFlMOQBlwB34#6 zdqFE2Ya4X8Axg?vCOZ4PBp1e7SYjaF+t;69qUm^LOH-XVu`l%k%Gkgr{DYsHdOF)& zyy+0D4QC6q%5SM@s%j>F?cJhGyxd&s8)As%^svFhK;gS2z}5hKx_a8$-3;hSLW#XX zaiNPz+37F|$Ok5Q-`cbyCn*RJ;7ggp?#DdM%2@rq^ykJ^fs z+SPO@zkoqnJtt6;^=sf`6q@ndt`F}!rOj;*GvU0|z&rmD`K z*0#8wcCm2m++bH2%Fg_!ikD6XrnUAe~YfivElHpde^-5WYK+T$x|XcUs{ zjQY2!x6AHdqGzyspuMj@4a-Ddds_##*Ybp`bP=p`Q~Q>9|JJTcdpi45jlng1?tZ82 z59sVAu2=lXI?E4vEa1#Mb{DGM8mO(VT3M+lV&dgQOLy=3JFZaXFH8~L(7xtqEwQOR zaoMFkTRfGCo<0NydZH*?LCxbHfV+=sCih^ZjBL4==|V4Hkr%Mo1!&g$rjG8m_ASCB z2l`rj#V*Lx0~s4=xHk9nwJ~*AAMdqKrJy$Tu!yvCuGBAOD{V_4Wzu%Ouf4U+sbA$} z*RcK${Aif>p;il9Rpj1E4Q|_^)Ye@dJ-3pcMW#-cPy~I=-gG!=T}uVR^b61O)|ol zoDPa{U*hVq04$}3D0p1jiG%EZM#tFkO`C1SI`#*zy&TSm2$OdF2Kolu;~QE#5pV7TpyK?yCEZxKtJ4gI zyE@HixU1C`Kt{D%tf14XfJ|5fZASslNlMBLk~|-!nM9Hi(sUphp^Q1>U)9tUr&C3j zTE%E%C$CRxC|-&Fc+A8;@QBAR9qi~Fz;dpuy(`h1JVdTDX<;!5c{nPb>&DmBf;kiJ zE@vS<_+(5pStWY9df|wb=X#^&SYs( zu|b+_|7J2|VZ?C}O+~o038-ZqSO&ZGQA~1}y&Ml^divs>gX%<3j&?n*Lqu?wwCG zHJ{5aZ5?crOYCI!dfDuTmd2BMAZYt&A?OHyZpErtNr@Lw>H?rQv@i0IxD_CHlzTB2 zdI5{PfW=h4dC8@N8#c7}xjTfhN=8gl zk{D(=C>}JeVW9~z9+-WaTq4U2g`+4cyBnsm^N?9u0m&clZ{5(&#DMp0aq%O)mX>;? za28?7oe+v>Pi*ROF=(Vcl6!CkfS!`MY4Mn9uosK%L@TBg}OJild6S z*c`e$St@sB8>E`syOa2qc>E;Md0DE9mB#xMt=()xXOCFXGm2&dUA?aU(zz|5m(r@; z(PP7EQsjo7zOL4RWMqmO@%YB>!9+ZrahH-QD&t5QF-*S;-cLQ12@rnj$? z5fgF_M?uhSy7;sOuGYWRo--Z%q0}pw$_+teEjOLZ%J2&IkH>I$iw`{!xP7+&jpsG9`I~yW+UFw_ zbj**n_g^;9(>uShujgZ!mz%3vYU53{&6RUk&sUZ*zkl=m_ARYly`Amz+cx!W?H}mr z#*qPDkf>;mmd#tR)XlE1qd#%^T#Vk_fu5dDsJNqh{>DUNerLy}l*more1SC|uTUh* zl8%@HUE5sH&h2jB3@&rAY2VmhCRr>fn>*NjS$EIo?z!!q8&o5(9&o1FD2@d~TU&c~ z921lcQZv;xc!QKa4WVCvzFa85<-gO17o^{E0KUwad4L7p#2f2JaQT+k6uXO=K3&T| zt!fF8{*@hL2~c&xm&pi~iiApa_abXcKw{93uM5Zw*xk$59k5G$9SgL8ay>2{4^Tp% zJq1gzV8IjPiVp;+)GI3~p^qNyO6IVM+gZEThJdeb+^%Hw2E8pNeF||GHL@;134O^R z#AE@gxsPft3Q(eIE;_*I+gF=jv;UB8+9G)SpIqVU(#3X-vA5I7_c?ifv4fs*6d=ri&?g=?BE?pB*SeOioaPcMZX-ezjpQPCXI;1sf4;_%AW4@lxNpm0mR?X}Z;R8a<4FKJ zTwVP-Il9dyne-AD+>zPX>k^KC)P>_nlg!7VTgPcDv1F6Jc{ zBgw@iYxNkfYA7GyG0Z_^#9$2|Av>dCSr2e0F270kbs#|b0bKYi4vY;2D7zUKg2=;2 zzJUvWGLvd3`;;i+qn@DvWuFs8d<-xYpzJH6h;K!P0+ju|DB_Qo4+SXuo+#pLjiCT# zePC*t`1oTeK-pYTj8c)Z6GX9?ij*xDMSL|d6rk)3To91DH6&{0Pz_~o5Ji0EH58!i zZK8-TWQGEiy-yVJ!NpL3vJZ2h!0AJ0+c->iWgInvhRuFdMZ*DVHS#MqatO4qPU5Ql+6{z zPAXECM%6NVQDlLupw%02@k;`f(C|^j+=7&ksUl)1+(LbmxKi##o*=IM zmWGfc-m>%za>QPi-b0RBkfq~FTv1|yeW(}_m6fF22a){}F1Y9%-I+KG+zK!7sEgugC8Nx6bUE6AM;iIG3W)rUPR+f3<#JDYN zI!&Sk>2*PU1a*ebmq{V`RUo7+gWNM*@JeW?6yLkDpVAn9$giGbCrnwMY zw&Gq#ICG(UNrAPRZGFy^1hc%z5#pp1a>Ai?rbYAGYaLSa+H2gBIasbqkAjIUgIh`m zO6rxrlgMuFfm1-j(HdP_@@gzZ}8xKLb7%&jirJO!Al zX6hO&bE^xUM3K~gXTXfDj&Sf{&C=M)?Ys-@9?+z`tG5mlH%3y&(=Sq89Y5vh7I&nK zyE@`lXA;~-7cICb9BV$g5qL(PyErFx&((So+-1b>tRsbW4Z|MMmeFnB<`pjIK#0== zMR13K+C}P0YYVG(^K&gVA(%tv(!9%-_-W_Siv0h@dFXRrZ0%{Lp`?(qYXUQo&|i-r z=g}BsMPa}u5PYqJ;@aW4hVUQkJoM#t4KB1m34K7VoQG;+RC7^)5>i&3WTQA%jEIVGGn1*dcm!7m9sh~$vWK88eKV+PoSJYgv3q3mtA z$a$!W{(VK^Je0YLD&hf3h?{gC!lIIL9{MBVL%5XlQ1)hAG#*q%m?w1}SL|~I0oT}2 z_iUqbdGWQ;18gxW^epeEQzg3mQQV3tGZQyDXJVqRhCq98B1A~yFJIWCnm^V;^5|x8Sm}(yy12e!YtH>jk7=uO0oz zK}M@w=GQAmzbFdO1L((NxcpJIW@LUJ@P!0=F$awUM3+B`8!Zd{GjW?knG)P+k;weZ zagPy*R)dX{p(S4%Whjz%;$nW<5zys7gd1J{XK`=f8*hKdsk0fe5E2j$eov9^XAQ$|Ko@qXWR2Tx)Ys)cpqhBrsOSJ2U6VNB*NY$joN>OkMF< z={S;{X&%=w`M5^A(@9eNO(;=w2o6g>lOg)a-w-7x{!_mpN=-%wS2#$dgDCt=%s%d) z>A1ZBn~XxR^D`O3qw6RBVq{WT38G*oqrZ~m{7mszV%+5{r+}Qv#GgsBVJ6G5^OQ01 z7j9&aCD_N_K9MXD7cI(O5)NmRabIQ=akcTr+f_MU%KiGAnOrVd9kNli?YwvpH}4aUFB<#cm5_3 zX9qmxFQZ)U!vB${{4&a0T=mE7Jlci6KX8>9=^rNNfZjflhq7&jsHf$YZG|XLN7)&l zw@=Ut&9TC_Pn?OeJ^27XhO%RYZ=YC+vNPuYUwc;bab~4KSflHEU+gS$p=LnRW8sCScsNijeo(z;P7jy&b@D z6y`n7->wmI@P8RNj>5cm0631qymuHlj>5dh^|ooI{Bhvx4L$)p-QX{QXBhl7a2$Vm z??+(#RZbD|-mkzn3WR()@Jxd*0^{v`5%S(u=-gQXL63iYayJ<~2l!@#`+;X0ycBqj z!4Cq@H5h-?<$psVDer9njv?=D2DbWt1{fk1A@60tu}I$A2VnKTAK2>u4PdMPcYv+_ z-vhS#=PPcj{}aGg|6c%G{eJ~)^?wG~>i=h8tN*jWR{wtkTm7>!R{v9ht^RKSw)&q7 zZ1vv{Z1ukc*y{g&V5|Q}fvx@rfvx_Z0=D`e2DbVi1Gf6#3vBiO3b57x0bttD5c1w3 zVB4R^fbWuQjK5RBPaFI_@bd;!cm5H~r!~AT`B)Z0!<_}agxcQJ_NKNswY~Yj_GUnD zNWtE44iG}aU8wC%>Hb#FZ)9xnTF-Cl`OW3eZ}uDe!uby01&4s=(>U2h*VfNdwY`zC z!E0@AYI}2e_GT9B2^T#E@qsUT|2#z;Lc=XYJ{LUB6!`cA z974nGL;QCBk{LTThfBBA* z@=nFeTh4z)zPZ5EkMLgse369_xVp0jI75Bxch>hfF!i&E82Q{DfH2N4_Rk9}e~VzW z5198Cp+5o~+k1suOU6ZDeIHi%eGY8<>oo9yk)K;j`M&Z^@Sr@uh4P*w+kXL=?>Bva zA^%#`$MNIkL3?HbNB&p3TZ25~WWe&vOSsRiuFwyb@~5~~1)qj&k$;~XK|b%tBF{^R zAA&p!P#^pEwNm*$zb~A!FtC4)GJhUXkWW@h7tk>VS53VaYVZ1e=k`p}{9*KX7TVK| zb+FjYL+FN%{!&J8G`*C#r8BlCcu|oWBmo{O1T{6*28aKe8f$|CK}m(*V2x^RdxyInN$w^ROUER(RbyFgEsS zx1|;e*4-Mgcq2%=@JmuquJ=9?Rz0$2?PIN$RvCQ~(i`BU=ayBvq#|x;mG=}NG}aZe zqy;kDO};(B{O|VnN%7)QF5;b5%U!F~dUMx* zC9rBeU4<}1N`~&heW~yjP9e=`-Zl(3QvOVRXlUsl9_;CF(gh)fa~I0?C0i|43&)uF zBMV9tczXABg?OT))^N#hqa7X5i%fB^I`v4t{DD&>lttCtzXmdAA%l^|h_W*F2JxtdT!2~=$ zx5Pae^9wpmVSWBdY$jNVJr5H4ipU+fl3$TEem-s@@DxIKHxQ?gLdUrz=BFBjZW&U@ zj~~uoFU9Y^f`-o%45W+u8zPU@$U~iDo(Nwvu&Lor0l2arh9~inIPpWEi|^~>UX!5; z-I;mL-S#j`gdjXvp~RW_tk7WPpGs;IZO z3OebR4Ievqm`W-FdGK?jxE9IokDr{|7&okFT_08GR{x13jD-?eR%AL=#HHTb|3aHN zc#0tP@@kS@KQ_J{#6}cW{Vp;&4yNIQM?D!Uh~ZG=jD~q%80+Pp8zYX(W;fiozC`#E G`Thkn9Yloy literal 0 HcmV?d00001 diff --git a/neorv32/sw/example/demo_gptmr/neorv32_exe.bin b/neorv32/sw/example/demo_gptmr/neorv32_exe.bin new file mode 100644 index 0000000000000000000000000000000000000000..4f4f1b4d6794a07af2f69a3a3b053576d425e1b8 GIT binary patch literal 3588 zcmaJ^eN0=|6+iFGulZQx>Osk*X?fw9k3^I>38~krY3&9>>6V62NUC-fwH^*dH8%4z zOEztl!5FtwTP1pQOlwux6b)&rG$z!NKPJ_*A*9h*#HVC8$kgDu}na@6BJN3fdc)7pvm#6 zA_w9*&Sd&Q&EA@f{>nJ%-~Nz!gRJshOI0wnJHgNeMVgD}<*?b3QzYnsd7^dfyA7vQW$iotnzgU`wcG78 zQ6IgB^1ckJcSgXYMmbIGBCYrY87{R-uMv5}<*Z5+h-5BSAr}`J&VnjMbd$ch4>nG$ zEt%lrDB6-gRKuE&5o_4ShILCqlh)jT94BK?cIUrgVLE5~dlUPfPpD(q zgMA#wwX&KaC+u5bd6}t9&NyCMrAQZe ztk`k5$il!i+aBxbtF}GXTkQ1&*3B8)o`?OiZIAWzl5NkkdGitcy>S`FNq6;}hj$oKV@tB`STKu!G|gJJ4GkC$V0){7$fV zYq<)Dx0Wl`TkLfT>*lpwu^z}IhPWQ+L4BYD%ZZ^b#)FPAehK;{cu*hcz#{aF2OVSl z73h1xgZe-R{sTSZLB|+>8Tu6X%g8mWm2c&gbrP{VqHRBcyD|RGDBc#WqKmZBogDfH zcRYG`X}tqOJcJ`g;zK#%b?) z$MaP-OT63B2bhB|T0Jn; zj)V2|CEM;S`g7h|C*Q~Y_{^67?uLEx_prBXn*0Oo*VdD$*A}~8TkP{W2is-KhxA9- zW^I3eg75#@@{izq?TkK#{im!RyMwQ?w`cYL^|gtapkEl`*_~GkOE5=d+zq_@gQK`l zWWC*(IqVMPZU6RVTSbmPp$*P`$sYB}&rHpQ`-mBg*;Zj3Ka3eigjwZg^Gd709LfJ) znZ$XDxQ_*-#1=QrFEn49+1!^!?s(g}>{)EhJt04fO0LhMd>lIln}wQ6D~U47Dm~~8 z%q1m>cU*L!57L`hT}ClCSf41!!%WTP^w}Yi{T?v;syQVFDlg?m=K4t~XyHcYQlu26 zxV1b+=H|$QSIhxsoGgwiY3fWoYJhDj0 zZDk}gM>DU>S*>_*#eQFM`8uiWUBNe?UWzE8X9p=!ZPi781AA|(2i~abvGpIjP`^ps zZ^X*K6$97M6RY}T60g4}iIu&-O#ZN`@ZDsMe(Y`152eZB=ylA5-p+D0S0Ylmq>8upHjvcJ9E!H1Z%ySukrpO8qeljvy=vt`?9)!&>Z159|{0$8U8n&vn!Ei7d2&o;N(T<1_RAX)J!KfOJ#MHL-j!>{Y7>)&7 zw&J(x?G4Lo>l^nsY*Sl;?Gd#;8flFN+AG&LMp|1#K|`&ruRBl~>5O%B#>(B>)Idz# z`N;RxPNOZ{s>YrTLXXXA8$v{*ogJ~t^@tM^h=zgR0B3>ozy;tEa1{{Ilh1%MII;fP zO+1suZsFs%@XY2V$bJHx1crfAKpHp;OadPP7l2QJ1>h<`xN~;^PQZPP=z)et|AQ(~ zUD$|4JM~ywB&-HnTB1S2P}|##K&Y)X9Bd&{y=wiwy|>uuIx{;G=nTc!S=5C>!B!kX z+YMjza5NZrt|Nlt5Pd5WXt|wpOa4V?kH;d>;O&eN$JTvegFpP;wrC{G4qDX%p^$nc z8fjOX?rD#-1X*sk*YCfs-yhJQZ3_ogBXT5mG=R``4F}o2HM!MLmT*lLJcbhwTu1l|Nr0jGgUU=?S~@E5Gz VJ9q7_+H`n2!&-al`DaG4R?>Xn5T2nXA^E_pfr#@FPQ>y=!l2fk5Bvqlx)uNik^~=h_S@}ykJ6c-1x;i@Z zJ2$kqx3#a%Z|=^YxFNsxgnl%S>}oc)wruETe)XEp$+FSD{!LF^lwX?aqt)snb za!G#UnzpX|b z{Fb$?&FxLiYuDztZfu=BJHNZbxnZee)%I+qx-OhwdO36-Zc=LcQsiYR zwLJ-$OzyP$JaCIQPgGw|m;6Zg$V8NdZu^Ug*MrCupI4KmSt#f$6zzYi(|Ce=NNtYkL{0)P!D|g z2I}}yk40W~erDmO52G>5!`PB@{0gtn*80SJ_I()UY?#{o++TZIhG(m?ddl*!Y?Q+IV&?W9ITIqw>q9m`;|#0urO60#*N4@XyCfWoK^3|KxsIm!JpQPWqamaYD8W5uS)l5lInQBKnCKEMl~Xd=Z5r zCWx3Q!WU5_Vv>l-BK8uow}@g9Q$&=Am@1-FL?9v*Q6{2XM1_cHBBqO&A!4S8St9lk zF^Dn-l{Q6-{U#PuR>5OJf3n?&psai56$MLZzlK@ks$cv!?EBEBc$ z`yzfI;!zQgiTI(2$3;9L;`(eoe>aG@QN&FmZWeKih}%WnA!4V9`$XI?Lgi>@86pxQ zGDRdsWQoWY(MLpI5&cBui0Ch3fQW%228kFfB3Hx^5qTnpiWnwhxQG!VMv53EVzh`c zBF2i?L&TmU#);S@;&c(4MVukxOc7f|oF!tbh_gkUBjQ{U=ZQF9#04U@iMUY2MItU1 zv0cO^A}$qinTX3pTp{905m$-0TEsOXt`%{eh#ewSf0;262@#nhk|MH1WQ*t{qOXX4 zB639Z7coG@KoNsP3>J|qVu*-55ko}`6ER%G2oWPij1na!7mL^~;t~;;invU~L|iT68WGouxK6|l5xIl(j13WyCt|3GVIrO!q=s?h=C)m#`LNx!W$!k-c5G3b z`A(`u%I>a;@-vgw$-c{Qj2T{4cYvx%-cR2d{Fj`U972{kykT*bny+*ENKUQf^cS{3 z*kEDb5jIrV0m4QKs}nX>P0|%COeS>eCd)x6YpXZvVzfjbd3JLw()vuLPe0H0a#zAs z<14&e9u_se%E4EAxlhAp5D$2-0u1Dk?WqRQ_;;2E!oP~RwhGkXNjH0Y;|Q)Bo^^{i zjx96nR&N}AWJ=y`-Z)m%M-86vU2jQLQDcA(C+v8I#?Ig=OGjR1dAk2CV2{kG%=0)90e!8=ngc~;gi@mhfhx9dvR8r{Jl9V z!i(!$S1T{fP4l>@A=TsJG`=K_ANT^!jKpJBrd~g~BlWR*1RSa-yg$Qkh|=V7@9&!A zF(;#>Uw@2I&LbpRlf8e|IBH&pVu<3&m+ITnk0Vh*HGwg#$U2akTcOCg%KlR&H0ZlL zYb0f#30b-TF_L%9(oQt;H+lm} zyhHS<_08VE>rgY(p8R)w^0k) zl(7;256kFNiXcE@r*}m;qT_N%!gazsG-G2Qye&pzk4B0Py&6Xqozmg))}hj~>K&9! zoz+`lr~Bj`K8oECe1wCW9DL-cpTM`+H0vEv@QP6nM`c?aymHi8k$tPi`M*FrrO=tf z-bF7BE+AIVhO0!s!9_~f$H3GBPczhIN~#%b zOvd|D5}c4ilJ^&0fd2xIU?24eWPI3P_m`2O6Wn-{RAS&*Yzr9!0A}Uvlbo5eAa4d2 z!~&Hu@)XQyrZ#~lSx%Gj-aa`q$LB7{+kaFBa8I)VEU66pLsGFo|3NBed?wKVUn>TVhnR|*2YKEC?PzeGmyO1%9?>F%J$qEWL)(R8R$q65j9kTXPpUvyP*AHlAy^pVHN(!- zgj{dpz`UHqxH03Fj>z=}qne3kTCu);JvcczXgSsg29!>VI1yXD99c2cOfqw<+l3sZ zCTDv4*<#SrXs;2RKfTIG_s+w(yNY5x24)G#0-KUPv@+r)B`S4_vCBs))K)agP65sj zA{QwcY(bz0&n$aROvuqY-5bSmD%0cSWR^#nEL*XM7v$A@OGhlvIXLGa-M?u$px7qL zG2~-01T&(V^+LpqpxjKhbM~nAfwDreUiZPCo-}8EI#d~+`uGXlXZg1D(n}R(!6iXy{4#YlNFbBym|*mW@%kX%Wz6BkBTHt z>UL^e9@}J;?ubcdzs$rARfnGH(>pVS?D+pA9g4zsIfa$_#H@#vm*yH|bLW-E)1WqN&c;NT2bEF6pVH*!^00$-W5> zhZ65U{hv%GGFdJ&Bbi8i%}?FDM21#)NiQq$`6*H6`PzS`mrQ0QPCHc_N{!lF3MCKA zN@yQ&t0g{5)0PSSKa2lW2KF9ZCfQG8ZHOEUQ`{wd%7g~FU6N|F2Hr*H+3Nb3YO}Kv zQkCQn%1Y>#CigT22Bq<#aXI7BG!1>%iu88jlPcS;V*Drmjk}%< zFPUxHhAO48c}9UkNsbsN;sgy62|a{K?|;utWyvDj3|oD&ug!`yeq>{69c5Z!Eo4BG zS=J7n)I)4NO*Zz>>k%_UFfp<>ekU3`&-7u8>4PoDUP^b9i4&#UsXR+oD(!YVH9wB0 zM%rL19%;vQfK|w(NPCQKK-xql2iW$TkV;<&uf(;*wsy>7&A%!A2VL4-s@Z1T`q_HN zr7z8KHm6^DPE;nE-Y7884Ox;VnEp-6sv7E>7S=9VShaZRqQ;u~u)J2)w6APx#5Y<> z=Pg*&&{$v7s7b0Fvtvy~ty6iXc4>EMF<~sIl-bWCq`*lS$FUgH|}8rQJbxQ4yPHQY@Hd)3}+T*F@D8qQSF_qAP3 zOIkad8nEWtWzAI`Tvb=oYq5l86tM2G?3c^(UbuWgLuGmc%7XOqvSq8WR<(6JwlmUg zdzTJOhZ>hv*6GsotQ%)7m-QO+GAtG>nfYrwR;Z??4fq0WMpJjMw$`e}?X6Ao+E#TR zpPm<*wwZWNZ>Y_V`!drE+JYLjwgX>@^E*36=fVX`MCy@EuLk=EzS{0|Hjvn32$$=c z+uC)RR5cwt8VN5)G2MPi-?X%{zOl(H*Vgt8>$I~a3zt+@SJ#^@z%p5;mdO&eOqQo* zW@*+eT2?i0zV_J2g;Bq_PIa}dZf{+g-?FB;Q@O()H!eU_ZfJMy^f1K+yNTLf!O{Q6jWDtXNx^f#09WCxW)s0Y^ZMQYUz|h=GauTNa(Vf zMb(Sz;n7^T(ZrU>Qdon+`Uo_C(bD{?V0wNKmV_mx`BT<4uV~x1ZSDH95V*Z#%Bq!Z z#{zY4Xm8yY7l$0(+6~s$)jXwZeQV3UD8wS2Eo=7eJhm)s%b&73f6C&n{3)weZD?=d zg&XkdMZt+1)W^I{9Gp1za)vAWmQen+{y+VH`ky8dV^;4$U3yEVMB9gcWF~sYxjor zvWV^2Iy=4giG5xs8cWDbbhP{OGA5ifXc3Ai6HzXrLc}x?)764#)Ol*Ao3?6gN3+?- zqb8)bC~fl7#wcxw(nct4;%CPDqFtobORChO4eM6m5V3ewQ#}@ORJ%O|Evr=v+gdt1 z5MnrpOTOKxE28*nS-*kf*|e_Zcw8l=j&1I2Yqm!y^vpQJ86_8%Y$@C)=IINqv=T#) zQW#J@z$-g8tbiYGK+ZX=oIZUF^MW=!LqQGw}c?Jts}D=ec4|cK zN?fSdv>vMot3}o5se^aU7p|OB^{p*UjmNKVZ92Bp!SS|XR!M**N7s$jm5r5>>(qiw z`dQL}HQd^%R|tnJHMG}vB3E`axBWOB;);qlHpegWDm%I9>A>t-)6vTsttm2Hc#hIIiSW)KT;r zAUT{k7U{8o&t=nQE&cN$8+-1+VGX389+;=wR)mK;U;aGAGCjz#)#;f)d(g+3&t&3)MW z?MeDD;q6h{kg!Z;RjqlehqIA+3+69rtXEY_aoOp@CXC74*42n}{V9N6dRQ-f6EBN& zw`U$UlzP7fN?$-Y#HDuvft=dJpqHDlmkr|5#$ac6t9x+OZCS9WcEQ{Qjgbux7<~JE zTqiE)l@`?CV8nWHbisMQv{SG&QLxOH;NC01yiGzj-+@Fv^xF~ZoFwu>B5q6>nQcQP zFbt8PFhmXzhNRDNV4C49BD1H(8tgavJYS!u$Z}s}_62twTh_F;9NmO-VL9sQ9YpW4 zK}n06x(3%fPsMS!ZEAIE*U{Y_>!;#$$s_{8=Kdy zU)wsB9`ps(|2JHeluVUBRIs*f#niU;mbDvJwyLQe>$|6R;*GD8QuC{-X5~*>9k#Ysw3e5ZmIgB> zn>RrCIu;+Z_aG&dcak?CL#{q>zI~`3IJo*We7!(BH>GoZ`G8}9abA5eZKnD+JUMzXZcgr&sV=t{c82VZ}gj9PbI$_*HfuHUL%${IH})?h3oM> zyw@-7s}p{^5T{JFt0!jo@;fD$T)tnjHVJ6(?YWb7_3-M9*ypYuUSklK+SM}&Tz^fY zOYQ36g(CVZ8pCHp#^3zx>X`=~eZz$IEdh_d9s}pq&ie8LNL<6wvl9RL$+M(gJ?#K- z8+Y{_4;~M~uAVc%<1yUTvke><5SX;9hZpVOTm6XN0yM}{)VC9Ou)`k()|X~!-L9Tz zA%{5muK?rQPm^}_yalAMXwjNoJs(2qYx@lU3*>N(`EOUxzwjU5t(vr}rw<03U-nDd z)sqK4%2L?x2|n84xQt$nad|J;#E$^S=q)o&R&d-TA)++@1gHz}@-39o(J&`@!A$e+=B6|7XG7`F|PQo&Pt% z-TD7LxI6!!fV=bm6}UV98R(ol|GXa3o&VwB?)>M2yYs&nxI6#l;O_kI2ky>)Ex0@X z_2BOO9|rEu|0-~I{*M87=l>*dcmB74yYqh`xI6z>fxGj6Gq^jR-v{42S`fQ>eg@8O zk|pixc@O+VOR+v92#|1A0`&>3gs)&9r~m)>zrIEP;`J^4kJp>aZ#Mgu0Cd#a?} z^Eo!#jQ5f>z9x-tOygU>fuHB(M-U)^NKT#K|Mxs~ymwz;ydOz^Jl@}q$MG!oaeN8S zj|M^bgfu?sKjg)ZeFOpct!L`^elt%UpWWNX>rwLK{d4y`9zV&C$47X)UgMpc{YYSE zNp1f(^VIh5-ac-x!k!ksB(s=hb@Ob}`{%%UMKO>EAP2=aK@e99! zUy+u7O&Y)c8~E*M`RV+zwEQR2_-hW2AV30VDyi}DKj*3OvwMGiqDDx596!S2_z@n* zkMOZ+<@3LR$MGTMj^} zm)9bDIUdFN1N8XBt4j6S5rA@PRi6bF2(k^^9}jkkwvB&1im%mxSt(7w$Ikj z0f?ibuNs5=+avoNl@A`T+FUgeJWjc4uM}RWW`VyEy&*4FRVn#X)OWz+v$!ehK=62# zPg2WM?DtZuz;SK9NyTa%_=Ay6vFZlKZTeCEiQs2P+kUY+9lRvkpo-Pm;1i<_uUK6O zzCCJCvAP`mq38pIVs#z(bx!`R;JoWeQn9)R{KM!CZn1h8yeaxXp;$c*KE-L@v*5Q! z+kUb78TfXmy|063I{w}PuW-im1MtTj{wes!PJLg3Uuc`BiWNSXRHxu&+nbHPALW!E z1pbLr{|N9sobee4{3oaVMc_M}@>9X(i3F^nSWO3CYHB0jAN+J@yykYQ+HGvOx_-gP%hj)O74nGdO(&49qFLC%;;CM7dQPKMVXohi?Nv z!r_;LAMNlR;2Rx&8~B+HzZd*shd%*orJM*25*zN1Y`ylX9&U}mjpWyIu;BB_Ki02~kq3~(j zKNb8R(PvbMfADvl{QbeFJM%jqe67>I#o(_;$5+fh_%x?KP2lnI2lEfU+VS53zR}^w zfuHU0P2g8K{A}<$9ey$RV-CL>{3VCq4F0I?F8Y5r^BvBc>)&1gg zzRuz0;HNr#U+{|@J`emRhc5DEOVu{`Cxaw$q-Mz_&U4 zb@0DA{9W+HPJI0V{2_<$0*}8iC{}+5pX$V00%K9>?3ew)184sj27XTTg+sC06Z}4> zJdZucI^|2jH#_4q6Fg4EYA*Q6k)l`~0M74JBo(Vh@IN^7c{uo+&U~x_Kirv*4)FV& z^0+fv;hvqy-)Z1$obfsbe38Ss7WQ$*{~GWE9DWPyp9DYG;V*z+ z?eJH@^PKVgHTVRF{~o-;;eQ67=kULQALMWzTh}#Tk#$;MY3&h2Zx% zycm40Grtw!4G!NAe5J$ZgKu#767Ve!KN$RShpzzVIgzAdbu{=D4(|qk#<4#c{Fe^j z0^aDXw{7694!;8YZD;-50RCsE|961j?bts+duP2r2ELDN9`?^4gWuuspMmo`IZ4Ip z4e&XR|KEW3clz^3@W-9`{|oqRXZ`&H{2qsAB6fy4@jC!~t;2_do7>&dgkr_p*ejg< zXA<}|f2+WM;mm&>_y^8-F9pBaDSrg`Po46s!6)0{Kzr7MU*YiM z!Jl!)>vZt=JzcRn7yR$e_+0|N!5P16!T;)%zZIPC;UpESd%^E<`ujcbBOLw|_*>5S z{{;L?r@y}d|Jv#ATj01CG4lUD_;;Q5d;*^BtgkP@c{9AEV*TagHO_qXMP3W{V4aH9 z5b$jd9|L}hGyfC7M>~89_!CZhr-2V}=3{^GublSRg1?NHt?xkK{jtU@KLq@3hqr*Q z#vWqx*McADv~L4AzcaV_r+}a0@Uy_TIQ&BJ3mkqW_+<{i5uE3I)*p|3FFWJ?Ao%M} z|9=S1F}C*4f-iO2|8wxcc-j140pH-X|F_^TIsQHZPdMZCIrE+N{1g0hXMOXD8(%y1 z4+QUY{EYzK=JA4`Vhfe>Sz;AQ% zd91tB8P8+D$3|cH7poJ%-*oIZgCF4dI}iMQXT4nteu`s%9ry@m{oMv0f9hGRc7iuJ z@$!A}%bfl_4gQ%^-%r7xbK>bW@YkLEx50nz@DIT6bNqb@KFev}SKwJre?8`}r$MR~-2MEG z=Wb6q_RCZ32dF0S(#XL8wF=zF8kJP6I>3M6l;4tt1w6;;?>6wGtvj^; zvNZc^!Fi0d_1y{{r)+gMcyXl2Ru6(7%Wl-2Hs>&)_#k6H=`H2L6=e|6kw*&U|NKZO0dROi%;B%Om?7H59zj;bXwN z9bN!_yTd1gKj!dK@b%96p9vnPq?!YMUZhB>`QY1}`B(zJ0&SL5tPTe6vJ@k|0{maj z{&Y0>@0@t(20z;w|C7Og@A%sS-tE|L1AoUEzbn8$a`+A4PdfY#@Hi#aPVgujk@5(5 zVYJ^*P>+L`I{e4r@%m4ypE5skm{hNT&vy75;7c6-HuxHczX!h2;eQ0*>hMp&f9K5q zSKu8{dy18ZW5FlRc=iL&aONWq{4H`^txA5rI?CiD zTi1V#;jd}?9JMhm|I{?T#qd&XKR}&txcJ{gU2eFvZ;ZOZaIWbg_XFC9g~qZt_}A|M%uVG+-_&JxC6w% z6IwevEbeT!sB67Nt0UAMqsUQr(>X@6H#wks;z6_xFZ%67$NqrvHl#-=Nt_bxoN!ualve0N8_yCdJ-(-iNvSk|<7 zN!8+N-1(;O-N9{cy_Lc4l;Q4_WvZmEG+#~F2w7Fie*yo6_{Uc{Ueocyg2X?KW&*8j zU9n+xQ}c=yovpafuB5KAVP2Uw0Wh3y>gwxa>$SK6!{pH!Ea{FVMX)Nc3K7#p%n(5@ z$itwCq;qoP2r(ku_y~*>gofx%O$Z9jL#$%SFpd!yl3^SpB7_^qhy~%su`Q4Lg+*^2 z8-G~&T90Kf()cSg{>qHMGUKnzw5QAtiSbuv{FNJj<;GvR@mFrg&iE@g{>qKNa^tVu z_$xR5DvZAhvZF9y74#N_?vG0O*j5#7=JU2zZu5g4C8Nx z@i)Wxn_>LTF#cv3e>04~na1Bt<8P+%H`Dl=Y5dJJ{$?6~GmXEQ#@|fiFDNw*gHq!$ zC^asFQsXlyHBN(4<25KXZi7Wjq$O0Q>feo|3##vwk zEwGUm*iZ{>tOYjM0vm0C4Y$C?TVMk&un`y7kPB?g1vcmc8+CyVyTHa>U;{6(kr&v| z3vBEKHuwS?eSri*gQ1PVFgkSE7!0F>myN;D zhF)kxFT@?g(l8r(p$)yzhF)kxFSMZ-+RzJa=!G`)LK}La4ZYBYUT8zFEb6i*gQ1PV(8geBV=%Na7}^*NZ48Ds216Tzp^d@N#$ae;uq>J; z8;qe1#?S_1XoE4d!5G?L3~exmHW))2jG+z2&<0~@gE6$h7}{VAZ7_y57(*M3p$*2+ z24iT0F|@%L+F%TAFqTED&PHWfwET25&6}&Ie_o9ibq$R?;y{=kwoI>47;&G5k=d@x z^ooU=>mF*m{B6XCI?$2N!yod^Q83gS0laf3URD>iMDGUk=IX^duO?cvc5evv-hg7} z=o8wg5A|Mwf^01cnns6u=YYLAHidcv0p}rVS)Gn82sRpmU4o!3ggJ_ZdWV5$4hKA& z<8WxVnb2-Cq1|S1mo7|kL@l%1Oqtzg%Ir2%X1AF#yUmo@ZKh0bGjr#1WN>;-UuZC! z2w|ECvaF6{uuPx7FRPO_ORw0%Wp#Gi>|Rx7_o_0xSC!els?2USWoAJ1ETIA!7rhyP zNgaA40F##JV8Q%J9=8|}HW@-n>wOSRTB{ckm{{r!3`5PfLMcLTW>88uj4v3-I}$#B ziMqKp9G|&!X*IWIx;%r--k2RfI>2h&XZ2Vet>PQwtyV0}qd%-N?ZGtWX+81NIQTpe zeN(;8F%R&WE|2U9lzBdd&NI*PDR*wfkDoE5Eh_Ajw5sjx^(oK4OL>e={8+Z=0guj8 z9_sNM17n+&-_zIY)n!;49Oj&rBziLJgIc*tF%C${=Iz@taY2dczY zUkyCQ=!vbq7I?_r;)mGk8-YiU5kJIMe;Du>qbIidBY~|SVyjPi*yoYV-sDrY@s*>*@ph!Qd!tO7C*$+PaW`(`$bP|^~-?==SyGK(&iDdA+|Q%z_u=8tG^!D zwwc)KAEe&2f!OK+|D!qOIFIH{#z@50Pk-PsMo(<@9M7>v?~ZLg^rL?ueu%9-$9b&L zyJHUZZrjN=j5TX7y*;*_ZhJUiwmt6L5f2_OW6pLCE)Yzgp80}@Un_YNM9;5Wy@`T( zSBvKh<{c`Yx!|yX*xYSg4tEC zMlhS`%@a)5-h9DSdFE!8g~SU)-$={{Da_^&N4!#S zE^({ik;JP6k0V|!*e6~icnUEG6^YMS@QxC^5Ao50=Mt|K%xh>pb7RpG;&#y=L~Op$ zJc5{$gVaiVjNrAzor1fFy9A#=Y;I0Ejd+9Tw-O&KcpEV%8R;_Oje@TwK3*`Nx!|23 zn5PcjiGsP6<0&<|EVn%ThJ(gW5_7R2@!AjXRKa{UgSScWFNseR{1)-)g894#Z?oW! zh|dtbiue@^v^L`}nT)_pzT)aq=iO&~Y zN_>G}UTf}c6TBbsg@S8{FA`iwe6ipLVg>=yA;gymZYI7|@EYRF1a}Z$F8EmDD+HfR ze5K$sh#5>s=MrBn_+sK~1oIkb?^?mv6JICzHsT$Ed2f+-z2Jw48I(wm5#K2IN5nS? z{t5BTf?pxNMewhPZx#G5@oj>6FOkRKM&dP@-ggCmL41ece-Pg(n7g#}jkYLfS<9xL{rj>pda(0^%nHUrPLx;A@DV7JL)& zj|AUA%*_w!KH?t>{vPqOf_XoX_nhEoiJuqz67dUyUnBmB;9nDS6Gi$R@r#20Nc@uE z&xn5}nD_tSH@vj{*TgRi#!n6_?-jxQiMbgg@%M+lUkDye{F-3ibLah1@Fe2b1y3b@ zL+~`>UkToqn43CMHSwE*41RqTNw%{X)-x17vJG^%Vw-f(H@CM@F3OZMR}g<7nD_H|9}2#e_z!~bCjO(~2Z=ut{3!9C1V2suvEUbo z|19`r;!gzg{vGdA!MqQ~`%Lir#JdE4O#BzYpA&yB`0vDD2bR@ktSmJ)k{4i#0UnCwZ_*G(_6d?VIc!=P?5$6f!y)vHsD5ctyc$nym ziTR)=q*=rx1lJPt)j=jl(Hxch8 z_*~+>1z$#7EcixZo-`rtBrXyBIPp}$FA|pu{ta;;_+#Qw@K?lTg7vR=nD@oJZ^Gk= z7*Zbbj|Gn;t`N+7CA|9tPa>WsxQy7mr<*}+Zh)#HHt)r1iD!s?1F?Bex14yU=v#=N z5xj|FB)p}a=X4*c+6!TSRjcEq^I=)Xgo^`htX^4>9mR}gm!ZYS;%%xmK9IBX&A z7X8J<8wB&ZckfuicMu;Z_)+4Gf}bNkUhsbrpCFjm(|RWg{)G4>!Cw=fEI1nCwcSMSl|U7}4{21>PB=znJ(;!8?e@ ziVd&x_O^)r0pha+KTf<=@C(Fe3+8p(-Z_GKe}Q+dU_iWw63k2Uyz>Q*aWJ39;9Vek z-@$tkZxj7A2hSqDQ1q1!t|2!2Lp`ynSASk~k=P$ey}>IT`?bUui;e#5XuII!s5kgT zViUhxh%XVFbBHe$tUpsS_$ulz6aB3YzJvI3(ckCbhlsBb{nNx(3VxROD#0%~_!Z)- zMgJ=Y>(8T19DP8&!5zKp8jvFW>x zDKjSfP;bUze_}HZY@6+SEwSnQLSob31Bp$4b*z~_9zwn8V*zmnCLGBpP6*a9&V`1g zKL<_<*6qv^%rW$`1y>UH5j>B$uiyiS`w3n`%ms_YXM=eC1?%<<5ZpxlK*1}Cxu}ua zhzAR9C(aeTk+=^3kj})bfCGR;>>K^Lzy*t_#pf`1`NrlV-~xVtjYRAlo6CU<*jOZD z-`HFWT);s^BKD2VO~3`**pP^QWAk0$f(B}FuOeQ)vAGwxppjZU(*rNx*l?dI;J_de z`^M&nzy+K@Bx2v#JP%xO5Vdlxzi(`Q241jSs}-?tY~G}vgN;P&8=Lom3l60g_n6`3 z8=GCg1&2{9&oc0h&6nT>hikPW_KnTIs6RsV#J;iNk}BZDA`$z>hSOhgq*g0p-`EVL zzFG9dzOmt9vS5YiiG5=;p86Ki6Z^)dhBYI-r*lec0Q}o2Xu{n?WF3}VF#^z$`yG2jz8=I@C-ynKo-`Lzl{js7a_KnS* z)E_5$V&B;CqrCzKD-yA9Y#yba58Fl}_KnRm)Sn=FV&B-jNIehuNW{Le;YU;jCyAce zH#Ynzsvr;lkcfR_^B%CbNvjnW0Zo;q9^u^jh6xa8KNiljZHu5&lEke zZ*20Y-y(Wq-`I?y{w&cG`^IJh^;<>C@tMK54LAQAhLzR}lG zzfJVSzOmuks)CC|PwX2TzP%~9SoFlcvEiYpV7usvePeSJ^_PgA*f%zv)L$lgV&B;C z6ujVa(G&Z|=5*?>5IwPPY|f$nD$x`B#)hYi1y_rn*f%yjEi1T2^u)fg;bFVrTG12x z#^x^SuM<77Z)_f-euwCZePhG7;sw`>p4c}w&r*Mb=!tz}^E2vi6g{zTY~G;$Ceai7 z#^!D6Zx%hVZ)`rG{ua>_`^M%|>TeZ2v2SetM*VG~C-#la*VNxGdSc($WGA5K!3v4k zH#YoUrr-|I6Z^(yIQ4gmp4c}wds2Uw=!tz}<5PdP=!tz}Q$qbcq9^u^%{1zFik{dv zHv3b5pXiBwV^d50{h}xKjm;A39}qpUZ*2ISxq=5pPwX3;BdLE_^u)fgSxx=-L{ID+ zoAuOxU-ZPjvEehV3WiC{68pyH6kzXhvFCR~1y97-H~NdHe^T_szOmu6=?b0_J+W_W z`2A7Ak3>)G8=Kpye@67gzOmU!{f|XY>>Hbhsee}V#J;iN_e}-QiJsUuHqTK1yy%I2 zWAhUAFNmJlH#VVGPFV&B;Oj{4y;hQz+H`54&yx!C_VZC;MCZ}j}G zs^C@86Z^&{hx%WLp4c}wL#cmF^u)fg8B6^yMNjM-n|$g=NZW{gW3v~q_g`W^oi=aA z*f;upssFX;iG5>JL;YK#C-#laBI@4}J+W_W`2APGyP_xdjZG8vzY#sLZ*103|69=$ z`^JX%M;H7~^u)fg;df*O?}?t+H#VnJ|9jCB`^M&6>faYVv2ScHq5cEW6Z^*ITIxR( zJ+W_WZlV4Uq9^u^%{|orQS`*Vv3Z#Kk3>)G8=D_c&o{(K#J;f^3hs>({Yc^iXo2_3 zconYJP~#$_FNVt6mlC&$O*us2$uTZ6`q{KG{^t;%DmK*+g=fdO$mr{6W9*j@pDQ+v z5QUG%xX9>_gsS3F(G!qT-Plmp>$U1NA?Mak;TM11j5|t;9bR zoAV$FUyN~)(O*Iv(|#VFy`PEAH4ufb$GFJoZ>Ej0zn%D3VsjTn;h$n$Wb~?}>-cru z%_|_gJ4LRss2e}vrb_hhFO{t5>QW`09V8|EJzSaxCAdblvvqBA2`!<)ul{UUud`jf zxti3mG*^+PYj8nr>`mLG_%~ONIyPtnf0DJN3#}{J{pF;RmvT|5sNzdYg+~{d3Uw|s z6$LLgJSCq$^e0!-N`7>4tF{S#EXgk%$BS<>t%k8k+yx@0vWqD+4jwWf|!zN>pFaQ4_o?A;>EoFcpZ)x z{aSxFgRm_&S%1r+aQ(g5j%Q^-M}OHSkN!IFa{ciV1@5ahS${`C;rg3_g4|Q+udm6Y zztiw?{izK1nIz%^Ch8=-Tz{{@-;2=EUyjM6zwLOr{$7K>-L>xmQ)Uh%)Aj4+StRr~ z058@>f4AV}`pd*bkA%)9+u!S#C$9oJv}S-2(zHuN_MFWbIc>^rs$U0ex&ynf6ko7W$9uD`F~@1Gc0`r8XH z>u(R^&+cW*aUk=DSZ`7neeyFVN^5t@6zpNPGUy5U;^h;rUEx$Yheno8)^47$v-&x( z+i3};?NTM|+^1yB@=`&>JTLQ^?nJ8fzrNQXXk;QT?|94GY hgOST)iL>0)`opkKa)UI<`lHIVyZJJ?t~cH8e*vQ(tU>?) literal 0 HcmV?d00001 diff --git a/neorv32/sw/example/dhrystone/dhry_2.c.o b/neorv32/sw/example/dhrystone/dhry_2.c.o new file mode 100644 index 0000000000000000000000000000000000000000..4d11bb66c45cb39b054e8f5546f4a10072f5b6ca GIT binary patch literal 19428 zcmc&*3!GI|yOky=@qVh=Ub9-5Ep=G98=Vb`oIyJclYRF-L8D%13S-}?X8-s>DGEW5wE=C}9$ zzHfc&zt&!R?X_QLcC@y)1wmk33e1ZpVa8nBCOOS^Gu`CO6mw0c#&nI_hi#>)-M!EB znVn&EW)|=sQw^#5ExH`k1*9Upu8SW+8^)U2TcOpZ%)Mg`V%!Vg-oLUP)tQsfuDw#{rhOx>*|%>* z*Z8|_8*6vof_7C(UdqTe2wtm=s?3FL(@gmAZ0rML7}K@$;nJ>j#>@q-HGeiAKtJup zelfpm#cms~I#s0dm=0Q_^amG}m)9wC4yXMlg>3jahm#b5IUaOux+SYe#XiUlk zr3h!LxH^cd;uHjFNELw<{9wTF*6<;#j;8BX zEMr(wDa!R2qZMBUuq!iyd3TmQgY`1x3?;p1I2&C~c;5&@ zGmfiZm$eZx1=qiT0wsi3lnro$Wa*VLwVnIk>{leyWVsEl&OV9FvEy0XrQrQ#dqLCX zHUNM`GNzJ_%ovq3Qxq68ff*wi!tO$^ZSxFd+g;c+l66?P*+RQFTWrl1Zne-1W0X_4 zVhXOm9sz6>E2;>Y>}F-vO+H;!#ja$s>KQS$JKRzAZOJs2o)uFQvRL>WR<`0})rN{+ zL?9dMEwQ_dxyM@?thA)Pind^t4ST|HSBnXWY{bb)#1n8H*ius~cnpITmQ1Ul9;}?m zao|!gy(~Rnx$W?S7J~&VkRP(_q=G|r{bh&{AE3!RWWmb)9HN?nDz1-f$S}C1V5%uE zKe{}uz|N;kSaHOZ;K=gB%a>G_V9bUiO!<`3CDk~8rXz16j$3MsHI*EhI($kMbJ8i( zV9l9k1w)#PoQET`qmgjvRkb&`^Cc z+I3p_>E)-|dL}G3=Lb7b&&0w!OrW-J(QlLLQOb`y*>*p2aIBLY9NQmbP^5I;voj@+ zcd#Ocr5_7c+!!;Av;?n=AxtYh)5^qD(wOKaO&&hN!;Lq31=-MFeGo z-61(uPN~*Tm=K<4>x%d5Am@mcNv~7tG;B&6c(8NKc1?fd4%gN?7h}2xtmtF!qo&tdeqgekpd}E z+=44{;P-osk{ZvoHBFN8P7b$PwM?rY8CS1{FkDT8Fxe}`(z^JBK*@17R4C&%3@uA( z;4&AuLWlK`aEmqIY_U$QuhRLTOAQrH2{cqXJi(Tn6lS@_QZVZ8K~L;&)Wsk7fK?A+Kh*tx!=JwG^@2VK|E&~e=Q zzSU+40$6Zte?K&MS;n;V^{vl$_R`qc(cafZz|GP@-^Id9db{&m%!$4Ej%Dk5`Ukg~ zO}zs>YkTwEHC^ja@%p~rwKcKC$Ft*3IHhJ`!`zyN#`?zkSv4~@bgu51-?M&WQzLM1 z-;6cgJ)40BH}&S{_xE+3lOF`@8R(oburc2?AB9+?ziZw6{>@E|JvB4d*339@pk~IJ zHRy!Fp1$5019`ip*4;UXa>~uXy1xFw!p7K+;7%{^TrWMwy*vr^cFeOo)z-5H`^3J? z_jfY9xLgtTT4UU6><{U~I2?dI00mt@AM3H5^ZFiQCl>~Fhh<=}ziY!rY2O^#%=MzM zdu^}V!{*v3yUje-D}mWQTQOw|y8AY*UY|Gqv9q1ScFH(w&~2k`n{?Z3y4Uq@?P#p; zGBelpZOG3Y$Pf0+T$CR;XRvSMO!Vd1`N58*t;?2loV;Y|!WqkF_U8L|>}C$UV`hF! z=Z1~z^D`M?V6d+@|L+J9H>Uv9uQTSjg$s|UnZC9$pP!v?Zkjc#Vcx+y4&@o`HKfo4 z=LN${W4lq?$h;Nl9isQh*DkL6Qyf6qYIW)tw;NNHut zj_}5fvskq!q`jVrxe?G4$elb6)D1UJgZ})f%0Yco079PJh&kK*w z{^QvfWVRuapBx|IN&gE^`a8ZEwx#*NSJ?hH&kNhX|9DCJCBK*_?U($iaiY-llkrk&#)Bv0uhdK`h*xTI;K_I{ zH4%7nzLc3m!Ts??uYdl`22biMH%EYv!y>8N9OIzfR+!Kw0xntlx)4Qr27s5PA7mfzOO@%d_@->d{{Q4aonP z6vjGhK7n=G%fB7`0k8aB;GgpNm%xwn{9gqh3aPEVoD)W2b`#ioc z#&ndMSHS)GKMeir1MWA#=V>(htHAy1!8maLe3}fNq>Pycp0qDx4lO93F^%9!e`L%& z@I`Tej5!9p+v9EE$@!KsZv{Wf%U=eb+^=QKO7I0<{%Y`2kFNtyQrh$u zGB2V$6VJJk=!w57r^fR<)XpIu$@eYFImeB}d{?D>s^w*7oN`cGKBv6f=9im;i}GhF z=a?MHUkAl+F6-N8)tISUOnrMJmIf@%SY~6HLr$T=BFYWc(*)F=U%hEi(p);v$PN?Ee~uIY@^*1}raTD7i5wxNCL zGS{|7?ijh2X{3)_+uF#swY1n>M90~tGuzpiP0l7PZ@1CW!Y18=CfB9f6HOYmNxQR| z`E4y!+ge?ohSml(X$>xy6`?{GfE9u2-Zk6fyL6eB(SB)W?QJa#*w)H53TVTcmAYeY zThe0vtxj`Qv5uA&+g~TQYrU+m&1!9}HV0kdva~IBG_*-z7M`w%ekd_wuT3Tgo+lA4@+FM$&vEQ8L zB;VsKpX)wF=@&lxMaF)vvqGcM4?>nT$sAt!NzOVl?^N9;xQ6^wf~OPTF8DBF_3(N+ zey-eP5F?yTypBy!o!IG%fGh8?7JDspWjV(=&wAjAT9(-9yf##R8Y`q>SjO`PUa|9V zuAQhlvD10os{9OANW3Oge%3;JeR6SXAUXe3U>Aqj>FkG*dXq@(bY7<`KZh04-|LeF z$aDKe?8=@6Ty-Z_NZiNZE(`7NJ%i5+9!XsvM)?dA+#@=lnS*-;=ZNnUd@!-z+|MHZ zqUdvp`QV3iG?w5$EHtge{1GWqJC@*n3(ZpE{}jw;uiyc}>xdr|+(-Ns!RHcxRq(aM zUlaTx;)ew9CVp7(&BTugzMc5%g4qSZHv}_W@J+%0Mf@$nKO%lq@GptKEtns+0veIZ zFhqjK1oQbZ_>N#cR|k&^KAKn`ES3;|PxMoWzc2VK;wJ=m1K0i_!F8(h`7ZdO=v#@O z6uh07jYZl;{NI8vC+0&m5})OQp9sF5_$k465dT#0=ZJqM_(9^I3;rhY(}ExKJWmq; zLiDFS{A=Q8ME@f(I}a%+!Lmp2Fydbc9!tDeaE|!bg8BRu{6=sS@oxps1+INI!F8&) z(DOUd`Ro+@Uhvz9pA*byrr-~P&mw+a@Y%#K2<{{Pqu{N?FACmH{6B(s5$_XxIkD?! z;y;Pb=bhlsf^R4Oi{Q@!*S?hCI@S5S09!v*6m z)dUrStB9Y$Gc(dS4|9GFMu;c!@FB!{-Zc_yoH@iAXTFDzCDu5{d-z0RjdLoo##u>R zDfM=Fcr9_2=ytACJV4$4VBOevd#)HVJtVop3r?;+Osc5c+RT}NI0HxaA<6P}-! zOc$S*8R!3^=jW8|`r%>E&#BY-Ikg3&q&=Lfg3*FG#W?&6Vm*I(iaI|}zhI2`c}h6U zVeR}JI?m5w5sVc-yUAgO2*!y%*~5H)7i2}B>ER|~ZI7L+wf%e-6^s|ZowpUgmHGtH z?HsO{@9SI~&f&pC@vI|OPoIYehz}Cac^=+LtnI(d!*)K`_J5GN;*St(`)?zjgcTCs z$GJFnd-w~)TGr0gT() zpicBtiKh!b)5EKYHO>ZNjq`S5jl=iVF3x$x8s|a}UrMZTt|mTM>Uux%A%Z{RVZKKT z__7)4HV^atS)g;{7l<{^mx(pb*F5}nVvX~-ho2zUIBC=o942*D66-Z$6tKH?5W8!K zomY9XB5_`I*EM2yU8@0h*KXeHx@$MFyLL~fCnfbBMvwLnvAcHI`%JwTYNki$G-7v; zG!NKaJC349_lwwFJM1+=uWR-iF+=Jd!?N{)#}jjsK&rvw?vdE$V3z3i9=Jj94C;-7 zn~1gF<`U~Qmu+zO01Jq9Y}q9I4LsglCkAv$rSo-Nczh)x`-XD4+ISfrg;BK2GboZ~@8B97E^HE?bu zRoqu#!M_{Y^kJ-X)d`N&^Kt4N_(;T&dU)N;jizc0aipGmfpZ*CNW_tP?g!3|rD_au zq@G8BbK|HQLma8+JHWXtRbz-F^*jlj;~+&Mj@0usaBc!sV~8X5aCqf-QX&yY>Uj}3 zcMw%$zn?|wc^N!6$;yT}QV(wdbCX3Uj?}~Ja_*y|6G!UdtxQlWIxkDPsR@o$=k%SM zCOUDX9!_C7-k>27N9y7AI>!kEi8xXZAIozGi%uM=XCd`NL?@2ab3FA!MJJBbb0YPR ziB24;=XBs;rs!+vnU&y3_4U*nL?@2aGf2HrbmB-o=TqkdhD02x=Mw6(MJJBbb0u}2 ztVqO>diZ>on=3kTq@Ek8&l8q!NIhSr&WR0) zI8x7})OoXvL>#H-`_zvXoj6j@PpBUwI&q|)J=7P7P8_L+_ZYcjMJJBb^C#*pq7z5z zd6oJ?(TOAVWJ;hf5}i0w55N88T16+0)WdIpxi-;>BlXl!KTdSwNIeHrUo1Ltq@D)q zOGGD*)WiFh+*?E^j?}|v+uZS@6G!Sfo;oLKB;rUtyr0RvRdnJ=J*%jnAUbiRo;>vv zMJJBb!~2}vNum=+>ft?4?&G2pN9s8jI9Mh+pOJH?Bsfz23hK*6Cyvx}E%j4HCyvy^ zd!gLvq7z5zxtaP3(TOAV@L4;zQgq@-J^a3yTO~Siq#oWc<<1bDI8x8U)Xx;1I8x7J z)H_5cj@0uc^|M4Lj@0us^-j@=BlYa1zFKtRNIid`-X%J5q@EY4e?oNPNIic84%Uj! zZ;-il36509|09`PkLbjadiYH;ced!nk$NUkKSy-pNIm!`A(Pu6I&q{Pz8}c-iB24; zXEybXq7z5znNR)gq7z5zX{FvTI&q{P{tt3)Ky>0rJUo;_C885Y>iG@zOGPJ+)bj%M%S0!R)bkSccZp6M zspoIhZx)?6QctE7=g1YJj{?qJncztE@zk#poj6iY4fXemP8_L+-%E4Xh)x`-r-Ayl zq7z5znN9r`(TOAVjDi&0D*8C$wBU)@)Yc@pPW7pfoPRoTPCSQUQ_B?+H+8Bv13N!2 z^FcjUNJn9*eVc_A*Qve;lJhSnUMe15LTbAcT&MandbItg5w8)?Dr{=so!~mvIVH{6 zB|7o6UcrOdxHvqWg3Cqc(64=8g6mW_^#fZs40f)@cCcTz>l_-)Zy7Z8{7)-Wzj|Q6 z)c5Dtch*y_*Kb-L!Pm5+Y<$5>6#dLqtl;CFji;ZvBs=-WhT6T)-5O7%^?hCIdjuumS&qS5W1e{>}|3W&e|>zH@M}zi0KP zLDcp}(*zg;EII_e-;GEF3}>6BU`-!RJ)1c8{KPz_IxIu59LU!IPjS5*hc3B#Io^pE zXvSX-!EXnFCu5<`Wf+#DvH0&a3$;CSfg74!g&++M!^YM0zc!6eYJXC&j!+JAV zT)nG-{dlDyQ?(2?E*@_&{CK+&kLM}lm1!RL!=5YHy5qsuOx@rvxp=(X`tg2(csx}Z zuUzvO?*c4-``!aW-6a=~QyG0OT?cZzJBScn9;Z~l-ir=1=I0PtZ-wSD{*72%89=ir zWz3H}!NEI=dwN@^D+6d=LFXeAfx2Ob~T;31B{dl|P8uL6f#v849j7QCt0W^0Y-VrRQ z$>lu@?#J7L34S*YEaQ#UJjQzk3(L&H!n79$f%oSwF^>zM-F;slI*sGSCFkR7ci%U5 zq`dzt_Dxft)0^Sj=?J!Nmulei_hZ~5eCLM7{g|je?gwA7VYqDswCVHvsh1hB8E^N@ uci?*-2doDA9AvVtN-R95yy0seh>V%*8ihQ@VdB{FeJ8cz7`sg5IrzVWdY;Gt literal 0 HcmV?d00001 diff --git a/neorv32/sw/example/dhrystone/main.bin b/neorv32/sw/example/dhrystone/main.bin new file mode 100644 index 0000000000000000000000000000000000000000..a9c9864566555057d1fbfdb0db99eb1451a3f4d2 GIT binary patch literal 4532 zcmaJ^eM}tJ5ug3KHJH}tE*K|`>NR_OIfPtdQj*9{?-CA7Wn(^rn>3~8$J$1LyZH8q zovN)44!9<2BHVR@6jjAl+PcbLjz~lQ=pUvvAyrh#k)pU#BT*MOHAOkIK%*Y+{{o#ooGhiaTEtINhX1xe=eJCj z67jV?tQ>{x-yvJHe%64Hm7ShlAa5b}J!?ljV~r|*Kn%`k*gKryXA(YxAF|cDj~q58^*`0kJH{ zeQc?T(pfdDgX3oQv+O*@@!`&AIG$ErJ3@4E{F*C|`Rr9!9`kMPdM$DN!mP5R>IWQK}HcWW|&q zRSyWs>YyOqEeOfGr}!Ny95Y$HGu&RzR|)oVzGA-3ou@GG%K3`MSc#yG{-m%$&w8em~TQW>jn! zv^>SZr?KM%Fsm$0B1es?0W!+&5r7}$%2@%p?nd5jwe!wqCOV(R-KA+FwM^19a$G)_ zS)f38uCOM9_z98^G4UZLm552D6BE(J?;6+V=!PwBo7;fy7DmdBY#xM`kYC@s>|(SQ zzOYZnTs%5BEw~wvT56KmPcD_P?lD6B|); zybX}w=i1x5?sL~A$LFDC2V<^}E4YVmyXR|MmmR<8@;8FNC)th~cv2SZcVt0Rd?a-! zs5ySpiWEUhqc(OZ0u$3-_nW2K+nl;q2OOZEG-feyVJP5WK)rSY1}Mle;M_lOa3cn? zx`sCbb0Xpt$gV?a;PKzCovX-?Ph7ccz~@4CKD~!-f*w<2RMASdm!HQS z$;h!n(&EdT78bj%&22ro27gem2HZX+2t2U^wRw2Znu?FUS!yP_jXxiTnoyzGoy>(fd#F z{u#8Ny$vxr<>;Av@!ECUlZRN2@_s8Nr(XmQHAhWnv|{v>PE}wpP1DOm=qDp9nK`ez z#^X2vTq6FId_zS&@Zj5G+NoY5gV1Y=J zUsE#%V&drV{2u;{3jF+9Dt%2mmfpk;;VqR?*9^J>ycE&g&wjhcWu?-@n$}S&X#GX& z*l}@#nB$E_tI2X&Z9 zUdc?%kC9f`ElkX(5Sx^c!`8(7Jk_e?<)fi5t|BfR7Y^2J&wR#Sd3O_g39;?C4`(eV zeh%cys@74&b0$-(BBtfEI+32IxnJOHC8t&$O!4;=KZDNeKhA(0&^TuDPEoQhi*@!( z=RUr`IPT*M+w4UjFnS(!7`B|6K8|`ZejUE88atL`TMf$SWq1z(pJn$*hA$`?B`uPn zhk@6l!0Q0sLnnA|!`o><MBF6cM?#z=_$vWFBJd*uKcaLe@N_5e1lvjE3w-tP zSg^vn&CXE4VLwx3D;SPQyHk{=}$TVFht@u5jox=lkER ze-AtF+e1Encb6UB^o_i(In+wWrlS`&C49^6@;m49e4p>-{DIxSa=W-E)ZcAaZ!I(a z7WA&LE$!}|&c4TewucJ&ckhAs5o=OJ_Gd+|9(iT>M;%3f8m?!@QQaOrOCHaNXRi14 zH6g?Pyo%cSdH^-vPw5(`MkM&0)J(0tDIIfrW z>wA8HUsgBBg^ru7KhF7eM`F)d@o2=VnRl@F56~x|t00tI+5qx` zwu10;kSaia(EXqQXb-3v1Zb20IMJ@w_RvE*QDel4$NCu$rXKF@j^SGLz8)*w+jBT# zc0*S{Z+>L=O>&Iio_;9Y-y4T@YV7Sb4~Kj8o^@UHaLf!p`Bbzg0*SrRaQCg0XZOFX z43`u$ZzT*nF7Hbpgd$J(#G;WtGZNPsznDX@XrJD3S6{T-9q#E3AM7TzN=%m?mu37T(5A`C#E0j4Ij2D w^Iau5Hph|9tXL%y(SOgqJ8SOyX6^kC1Rs8+E>yq14j*LQ<{=)V^*y5h0@KPkF&F{?vJe~~A-p7DCm0BX7f67RJQBhd2w@G2!7Tp&s=E8$J0m$E?|uLC zy>mY6oVndyT~%FORb9Q^8C_7nV6K!>*gr?SCv2%2z*~-%T&@;Lp~P5GC=y7;>fe-F z3L(auYzQgQGJT$3e^0&;^l=FUIM?gy9)iL?(=J; z=;>Wodw#+}9X<(c{Cn|9V58rQPa=vGpF|c?d=lA6@kzvx;**FY#V3)26rV&cQhX8v zkm7Sq5z>tt6NAENFZ|HnYmoMCn!7i3`5uuv@fo3Rb46M5ufVAniSS&}@y_#~4~hUc z68WHabM5BT^1Y~!h(u%_>YDctDg^J)gO6QGt zUVPpbB8&RnmFHG#y1GX+-GdMJN7oDeq&5iV)g>D9I#7m=_Ln8siL#MfMOS&UIyE=s zbd~2-uP+RV-uJ7~X1VCfE38h0DnzPy8*uWF*DzHs#rpDE;vu?3vLYen{<6{eqBkN$ z*Oqw|kZ;BGWoQG3A|!9Zb$7lkwuDLkx5cj0*N+mPPlx^z?#5@|7EcVkca#uR?%n8~ z1>FAvZjs;D8mV#jJpZ=HDmW_QumW_T_ zl0JGPJ4NdC&Cc{eXm3zC?DX(o@80~XSbtjtZAApbuNgz|zXjp4++Q~r+VV?DtL5_fxeH8h~8@=viJ89nF!Al?~Tr@=>2f6NPRsl zdiPEjyJG6hqIrq4rmHnhG>hSaEaYW=8MrAAA& ze)MifjalccA5-h7vC>&TcDGcM*U9yhYo(eZ<@zbR$wn^ujOP0=wP$5jXwS-u{0NiZ zjC`||75N=|*WV^LpdM*0(j6bJzil0rk?yAQ2dLkOGSXV4JKjeyEnft)EKbp(JA4M*W;l6U5u}j-!ncs9kQg}y2kh;`)NGW9}}DJ6{(9}9+_Hk zO(?N>x=3C6^6=ix=K%J8(u}Pz+E||07!q&4^ZYvr_rdp2K9h?h>;`>E#Pf=5qN;)C}I{|(KfXKB}{ylRyHo_Z)mamO+3p%1%` z);KjsYnIi&K_Ae(Hpbv{4)j!` z%k_KVk8hfGKEn8T!{B`k|9o5L_w2*?_`89B>f`VE4ERQ;d-en0=HXA`P@}Jz#y(F1 z_e0I2p2nVy&mr*s%)qn2+uA4__^**ZrnX*1vFFo&=GVQEcVz0t+Z-D6iK0=WcXI?| z<2Lw@SXg%Bs~A%ze!F=#j`Ms{R*o@-`3dp9v}?<;6?T5X98+C3QGBT9@+V-U5XM-c zNOZqH;O%#sKYpor+vku6@oJr!r=+Cu)rHu4uPbUW&*a^G&W}av!h1uhLN~Vv zA9K*ra{6G*Cm~lPHeh|Q5q?E$k1Wik*)t4M42qh$Q{C^1_48bDclJz4u(F`V<2JcRWK z;ye1bz8vv=9OC=9-BOK5EE``dp$|p+=-nKqd1>GDfyi%K!);*wQ-bxDbN8{Y=(rg9 zP^^ELWSqw`-Q4q`c&Q+=zYIEI9^oHBo-LC4pV++j81XsjySJza`o(-33PX=IlgNMX#r#g= znRL;+`PKcYmv0Nv`lokWolJBijeM~G?pLo7iNY1~?pHTMH=CvPt-D`+RZNeFJW9)w z@9cwK$S>H}dc&{o@BQ$Z0jcYt+hxL;S=yZ(OclX{`#Y@}K zw~;sQW1ph+6!jrV@gIG_7)X%MWZfv%*J)o*-N5$nd>r=h+D=_v4j+92aTslJOy7Zc zvEx(7wy$jbyP@7lZ3uH2))$S11Pa&+6LS+-Bi+Z;#`7V67(do^G?`DZeBGwq`jrBwl@rp=qRz7o&dm~%IFBQK3`T8+Zs9WG?o9987 zFNoA~=+1ot{@hc8IPqGq3wwd??RTDkFoApr=BJcc;ilrCtRw1=>+$4sy!MwJAotcx2S&cl4GV;9hz+H>`1kCb#-(mJJ+X$58yrh)rmoohsEnUg>j_%~Ct*!0JRL82- zZ7W+>OinKAYE2$~_$p$tY-#6Uv z{ozR=8rr+MJJ+O0naP$FD>`A84| zwYGOBQ)HOaIy+V+mrYvLv7(h^>%V@XSHG|&bw(T9s;lF)?sHnubim8%<$ivSHEErr9DnYYJJ3$Hc^BZC%}x+2S6oBVNX5BKFMB;qw|k z@8csdFU9dG#-|ST^eIO^``Fqgiy9WqD@`svwz*+xZF1~stu5VaVCQ6K>sf2sI$Kwa zOP<}8oS#|Sa&}AG%9c}CwvHozSk=;gwnE<(5-ZD_Tz@9r-XJ=FORNXmaf7RjsYl zTC1m4R8-Cwm#nOsTs65u)Q$sgXIoe5Y#~P=8_IJ#&z@SmEdYHKBMW;9(gZ4^R&09rpxxfHf@Hf!YD0LuC? z8VeOCCIOVy0*{y#`0D~LcPek zhljVJ5c(GIWM~T@PUw8^Dfv4jla+i4$rL5uLsFrnf`eCr97&auWk{wfc_xx-CBKSfnv$0wIRt$` zGF{2~5V6_Jl3xj7=+V5(apG6tUCj>u0Cj&6_0-6(xG zBB!HAJ0o%=xco38pTSVMDwTMX`EHQZ$FjY*RfFu-?E0MS{c^;B*OkR#85|cZS zL}PL%lB}5gDX6n!@^NAklg}fG$K<<6a$;~QA#!7~2+4q$#7bM_#pFyR`7!w=Bn2^f z5|TtrCLm{FOpZcQ6qD1D42;PJB!eIelH!UWAYnFM#N+@wA2%mdC<~@F?m0L>tmAEXB%R26I8GfCG=raOorj?n`3f3l8ewD zl8a-q1>^ARG5K>Om&9Z}xLg{O-$!y;Ou85{m&fF(NUn&10q|<50IgpWL(he{7TQJfjhMUva7RoIhY%tzLr9#sd>71ATuR6pipzW?Zd{fk3CCq6l1NwSj>{*J#NzTrB=NX>4@nMqLfqWAEJ89ME=MEDi^~+6&5z5YP%4Pa6Obh0 z@--xdarrTlqPWaP{|3h85F~@*aw3xAxSWloBreZK9|p(e6-b7}Web2qmkxraalzci_23{cTHT5L+jVZ<+Vt@5tk2=#o}@lg!pD$?nAOYE?1Jp z;_?Hs7*r16j=01@j>sP%XCWyVAg_dgi2?F@(%=Aj6&flUAfv$CH9!>I2zmoi80BLTjypSgX)2E z7JNz6yLSOmIJclZcdlDNrO?2oi|2@WToZLF3s5s(*TkJo;N>>xQl1k-pmdMYr9$UB zRQi%G6+2Tg(A)*OG*pb8f)?F{u43uN=}9Pjku13q@X*=NiwK>Mrwnbv(+ORUrwUz- zXGmo4gJCX~`73~${RA{}t<2vHg6vDtz3WW=4k+WBGXEFA8Sp47e~U~mDP*`9K*%rJ za-}YRLrC9)UBW+*BS<>oY=rNG@6;tJ#*Yo(EZv)cmVXZ# zyj_xb`D4NM`z%!cWMp@8V`9Ropsxt;ka>S3whK@S-y{pEAe?ikk#EUDR;zP93I9Wx zL+wXJocL$o0)M$nW^w*GaJ@^Dy2k-tPSc?a4ddB_@~A-hdjO9nEtvWrpaWyR3o%W3 zGw{b!-%NQB)Hp6sz7kp)PgXJY)xe)XR?+2&OIlACvMN8o@dxn9MN55-31k-s%12>P zGzQ8G(A%SbMNY^)2!}#Vj6)dBN7w9Au=s|G(m0!Aax_|HvQMd^-_h1-WCUZbB^eqg?`(!TV&qPHPRsIEtN**r{g{0&*g%<#D zeSq>}U{9Tm8u=}mO9grSu-DM3TV(DHXn7-e48I59Z_9ihdU1jlt_7E`2DnTC#n9z= zoGcNHGBNWS#E< zs%+NzE8tD?l#)jQicsfe3rEDrwPcf1OMXnW%OJH(>HJpWv!aAri;O3nR?a7X2RS3# z@Q@peo(F#9M$V6x-cZz8wAc+f@kZmrBSNd~2$^a|93z-UJ4x!rV zkD!FVk=p?w|BmiNhFre}6;OuC7GHweB$nnUN~riJsHOq4R96$|5rAk^eOZHCbrJE5 zK4-vG0wY)LlrZK1N=FlRB@)xxX|24&Abd%LrdkLtZ0grL3MB)y{>jSB4OHin@`-qEQofH>;lj_%-&?%M%Tqs-<`iQ)l8iT&>4*geZ6nLQ_xfkuOkB{)(bdFQBhd zorLMxQTO1f)GR<;H5~bfdJxa3Iu4jw>bJ;etNF;s)X8YOQ2hz(sNw3%&Z58k8Y*4Y%UaZ~P_B7w6ER9k8QqzGmP<Ae+}i(DPU&*-jmUL(R=4Cz;>WA{57gfL-|N%{n4WQz(XmlFkEf{{ysSX z4LkDz`Z+M1*~rknL=jcGOHq0iCGfRGRQOD)BP^AJeIrxR4G$BHKqejkbE!Hk{-G9s zzQsS5@q=AcPS%x#PhIOot?pVRh?3=GarHW{S|oPD_K#-j^lUlD^K)`x_ zN|$e=GU@pby8Jhk)0FtQjE(>gZY_?*a6fu}QYMeIC__9HPtRMSk7wjLgh6^94-D4W zTx5!&RH9p=!OgfJT6h&obnBnnjk3akH@$grjeB0{7e`?oP4y0JVb--cyE+cjn83>Y&-yw6CTm0=7|8|Q{kaYajGWQ~jkGB|&T`WF9 z((%udxj(V^zqR;(w)g}|$M2N6bk@%DziIJ5w)g}|$M2H4#hAVrKN>dj6e7d@B}h7c zx6GYy@yjg!6pK%gbo@0kcdf-g+~OZ)@d=WSf40oM-Qpi-@mnoELDKQhk-2n*!t!@p z{2q%>kaYaDGB-*`1QeF8u=wA!_ykGEKUe0?u=wA#_;l9J`XNX<{#Rt~85Vz!#edS` z6C@q~t1_4F3R#}NSo}9EK0!oU^!u93W!z6JF5VK=vJeDwdJzKu%*-i)W`2%1N9u?& z5Ja&yag;8NL@8~w&63e1D0s9@MrA(}K#d$t_mPY;+e7gtfHNUVja*9@r0E5~;E~jZ zOc7PG`qrY9p^sXrZnsodSt_~%W`etjAZ$T>HY&EY9F&i7fN)$=;Z9<`#I1nftCC4C>W?vf{8H1K4)xhAslfY;- z$a0`mA6b@jEX$@$mOnS)mNAHBi)FbQnGBYLr1}!n$5O7dEU(ODdB0)F7{qdiW%+}? zEQ_VO!Loe7vV0}-{d6`}Es zv^j62%pVUcGnW$JFqhHDq;dIRoUv0S@X}Qpw0%=^nYq*@~bBP3dR4(&b zjx#L>I^Ry?@IAv}qt8K%q~*mg(R$fvWRw`P4y%m6r#pTW>zwlZF@R6J7)W&dKMF6H ziFXO@DU=3|#^U`SyaYcRYfv%tDEQQU$nc-s{wXmS4xIbSiR41yFv(AugjVqbKg0O= zdHgQaK8oTfT0GrPr6KV3LzoR7>%eDv9{9+o3uy%A4UEFf&*T!*wLlbE!R78RvTg=G z52y{O)b>lm_+|DUi$UM@(-@cwa3=`9oVn6wPDfN^h?k*#6hkN@hF%PW8;F+vu(+fB z)=6N0!&vG^`1sz?3B92~H_}Er`+4-?CIV+u)c6+R;x-Rid9ynbg)F<;0bJa!x&h)S zNH6wq6uc9eF|?jYNBxfaCQ!#xz+|-hsaK3v9OU?2z5adNa{B`^;|NV2kJEV4=F?!q z9wEh$&minN64w67?Kc24Xnhn6VmMR_4r9(&6azOdceE1G>l8)7g&tF9!7D{`j^I;K8#^)M($9$g!{66iBE1P zL$ZawJeuUU7FtQh;cl(+y44=&R)_l_5ZFS*i$Y7c8VFu&p(3=$svn6=&_c9D0_qwy z8>qg8DoH-yLLq~8wzg1><+cDB&q71qfvFazTZGIzXz_L6&7wDYq8}X)eThrPV2d;b zEz%UUNK=0nIVS!#^^jKXCy>N_Eplu;AC-*pWe+3GBFnW!&h={jyB0FsFn!mu1xgyG z?^?(+^2}We<;BcTXdpaYNd-kilxd!BBpQwQd+wGPKWY+!2tMP_hpp%%ew{>k#!xo1P?^mir(Yqq|Wi3MK1%hs1Nv2|r=bb9duU}ozJn%LFYpQ)K8%?#rA z@nW}PidPGZI|-yOZer%*W+Q|6-Mnmlag(htYYx$uH3P5H2)DtXV}bYaV(ZaxD4D21 zb?jQS7%DGZiu}Mm1h^gTg(fCWqrAD?IhQJ5#3Nf@=%531p)+tFK%?j_py{LN$I|$f zy;}iu4}Ta7KZkF398#s@9K78*c)N4(cIV*j&cWNA|82KBdG`(xf}-}9W%wveWllFu zOU^ei4Mdz3c*dMNFh}G$pW>PCMDT7&fioS?gtHsZLgx)Ui=3O2m=K)*z;lrE9-hU{ zd8IhNbb7#jurmv%oI{)o@Eq!VhUYM6Ft`nO4#jhX$U6-QiExt))4tm2088O~1yAX0 z#M5!!1%`5B!!b8Glks$&nRrH>Iy~df7T^>*cj7t78PANjpjj}cyBlJBj|@9lS2=un zeB8OcSp203fb&BOqaCAY_d=XO5&kyjl(=N1p&h3DFbXNt&n3 zp8>(t-4ne4oy37mPjm}1*w*w!Z$hSkGIt?^U1v}9m&jn#)f0UR8LT^cqOTx>)lE4_FW04SszKRD~B(x9KN`6_~Odpiz|mOt{lF&a`@uP;fpJW zFRmQExN`X7%HfMEhcB)izPNJu;>zKRD~B(x9KN`6^u<-)cxaLY>~*wk&igN zz==9Bw2|fHK=y2>9?zJQ1IgmfqsZqtf5bD_c^%II&OSWzob1sQP6p#y;EcyJ;T(!* zp>q_TMb7bf4s;#|=RwY&@ho=U#w-iUb4*F91!qQB-o5gEf z`v^cwUXiRv`S5IDF@hL05aT0K9j5j6q4dtB%vLTH?DwDW>LifI{|D5VtwvA{z5aIC z9&|~@Rh$G9Qq<%wC)?=qUx1K%EV`1X%L8(t-oHV|#4`l^95wJN;Dq-Ab}}j>4$LFm z<)|jf^1G3tZMc3D4dDatz2U7vG=q2F)Yg?@e3|4}65tt!do5o8RiYA*XB{ok;CBb> zubq;3K-^A0S>#fd8bGtSxU>yW0hakAD9&?qfhe8>#f1A|?+cs)%B!tUoL{^~T;fDX z2?NeXE{k%jP&eQll=xlLjZ~ron@gSe9@NsSb^}u4GAFtZrH4@>1&E&}ama@Pdgyd= z_Y{Ho^~v*nK^d0>eL&;tH*R%38M(iXjCc}^{sDY;swh9hV({1C(H(*AmFNBoh0Hz$ z7L^N~uv`Yz;x@5Z>$aGB*QonbOb5D+P7d`=Ok6Y)c5%Y=i%^HRuURGJEN3F=AaN7g zKHMp~S@StkYj9G6Zu{z-Ty`Nbg0^9V!2%Bu1BuP#xp2F&PWTikVl_C)?W1wXbObXW z>r5odzo4fRsDbgi0atB+7Y}F?lXWvL*i4xN-UXn{r6wzMlLe@7cY-k~QsmPuq305L ze3UhLF~&xbg9h}U-2N57$A1M{FLEYd2OxdHgl$ATPC+HvGkQXXfvA!zPJ)syg;qmO z&Nd*|5GNUODu|UBd=xs7grNFQZZE_%$F4M5vQwXk#?Y@Zk{$3qDy76&$&U3TO1XpK ztm`%IU#NUnlCBV$b^w~2l7jiq!Dz~zS`H7CtoWl)kvknS{Zg0PseCaAAJgS4QRW`f zc&z84h!;p%M3#045ZH}Y zbPz~GnI_fGEy@!IMEQ$FdH#SX3LsdkGMz6td$mJ zNdG7&$SislnPnO8p|BK77`7JSeEj``W@9H1> zSN((k(m!}_|KMD#s=V&{lF%ZyA298(`T;LZ1NU|4uDy z8XR6LYG#!W_Jx13fAoDm`s^TjJ{FT1og(hzG?=&_9z?I{AAPxxJ~xQo(LefTAN`0R z`VIY~|JX;b38FvVKl&>^dTkK>)Be#5v9W{(sH;Z?(I;ql&>u-NbA0r=Ao}tBqj&n~ zbAsp>_m6&)k6s@{|4IMoPx$Ebg6OaIkG|hWpC3f0o0@){V6q02W*UO%_5Gutj9_L@8D>AuswGs~tDWI7tG^G$cMOL7xf^~G<#SLSeh8WM#PT_0u0%$N zkt7@GpaPw`u;gmX?grEr5ljmx-0uU2R=uL~q;f3yuS3rMjECG#=NMIOE5zyvcL06| zajyIc&`u^a`-kgwgLd*bLi-EQ=58g!o?J~Tl_!XK^gTgY8@4|TBDYgt=7Y0rj1f%& zljcZ*5ym`-RHx_=g1dMK>bQ&ZkSU_d2I^;6QTKL0de#f*u!9xz)CNm;jHOEMPv)iB_ZWSHC+-uOG)s=}K9 zx*RfR1?#ZY`KqnljY{z)qN0tK7*3{s0=<9MfkC#@QBkB6pvWXVMpETFs5}E!dno5! zR2ES-P8jqye4Ma8Lx!F8Q(%%FpD1~YS|ewz!PKuEtBjO&ic6az8pw%3*l01zVxSZe z&^RC?J_khla(R(-Yb@d|gh)VxSUwYt{~qO2fDu0&1$w{9jbo3)T`Q+B@jB{{pwpEF zC`6Xykwr$JjSvmNY*}_Q@uxBPD5!Z1K7))NgJp!f0=S=nO-zQJ)oggH8#P>Wo&}; zNnQ^|KfM7RlDY|Y4ES(VEhKjcH9~a+US+{62|ctN;M>vIIt_Nt1&qu=sMlK5SjDCqS8r$2-O%c zpHR~JS94fkIM9^<1S1X=&l%K*EQg=4FtZRnNXAf|$^6yU>(0=7f+P&&eghVx9i|vg zdo}xqmsgVTpDKVNv*}} zpGKg-?+ZDLOW?);@jDFW%ctfGr(^Xy4Cbq?{BuIe{G1TIvY^Tf=Ycef-d0c(6US4Y z-d0c*g{zRy--UY*=V8!i78G1V zEjV%m@=9KXXGq?PCw?>(&#-(1&xrg3o>BQao>?+75n{$$!NN z2L?D-+22l++Xo^_3|b4&gk7kX#}A@cD$iBbM2a81`8I~lS5?tyV7Y1=R_|_`I8Q|` zN9{KeaVBP?)yP$l=K_^W45&x&lh^Seni5iJp2(+GM!XIWI9l1CZpR6Fi7tAzE;J7^(%8<)ou<}*|t2V;y@GpPI-$%9j3t@n}G21W(}dz{u?Bg$wII~8~!R0xT~ zc&80*INo^-gRepTSsEPLx?r31sYK3n$oV3CSO3ZF#3KAl299|fz%DeBgbXh`Z2M6(@ENkcSlGVyZ>F>d^0hsjW9&;5ARbg#oFY4MtKD$w5;D zi%!x4O#nac56Qq8!eSQWmsO08v#nb$(7?~)_~jGLgMcG12a-I@CrD)y5WBNAft-!& zNBprIdYTqFpF;C{0=l4iyPyl8QKX46=o}RBuvSC^N?z|C>z^r2F?mOi#SfJ^;g_g2 z^C!3GO&o)t@NhERBmO${etssDtQ)4c2+w!Q$Z1xh<;W}G+T+A`p}YfS5g!QITQi$k z2~u~3I9(&scVI=lcp`r2C9@4uLu3Zb+N4o`L8v*;fajcmKJEk7pcFvEsW*7Rwrl_d zJ|uuqwia?t3IKA(0`LyzB>jhP9z@Zb`C|xJwhO@jL`&hb7-`yelwYIrrC|Gxtf#Vr z2pFMvvfr27+o339zcNTYVo0r5pE6Pt_E$6?nOJ5<7g{Sm+3Xj$O6hhgb zer_~6rOWrDJZT@aAO~weHHs1$xg{ZAn$XC{0Et7z~R8ZGZT7N7P>^E{!YoG z{;%M~qyA3GqdtMHA&>eyC69XgHZmOThmuEJGfF(_?~)ubFGHE-m>Dx3cwRO_BHG9p z^%tT3bPW!SdZH3JbkBUIv_C>Aw-XB<^=C>R^*hlBjrvtuh6L7&JnC1;;HYnxJnEOD z7NdSO|LPx)dinwaHUF}1o<}{s|4Xos42(uSy$Z~uz8e{mA+*I{sRxmvQ9l+?hfcI< z)c+bl8ufJyJU(sI(~sm30sYRONAMAV)aXi0K%+i{7)~IHJZni8bfvyX+8^eW+kXpO zjQT~ANBs(P4vJ{hir9pbDhD-j*zGf&Xh6jDTrkc1GQ6r*W{&!%@wgPtbdUJsaHU8l zljP!3)p-ihymAn$=pB#xbcU3Z4BQV(J@ zor8lTVgZp|aeS*>y9@;muUSc$|zfUy-u{a6UWShyo zf?lYQ&8({sfV5G=>j$%e-I$?3@=$LeibN6}tII$kU#(1?A!J=XP3L83if5gm|5f$C zY3B(a^oKs9?MvYT7UR9sSzs(X=c9zbEo$;a z5aF{HAK61YBHCbWB&44mg>^4lIe!D{c6&HZVv>e0cZhi&FeW-fy&SbV3$Um&1+Y_) zD;ILCP`N{CX3s(9(AZ(_%veKW2E0Z!2&edbgz^xh0OD2?N~t_7HnTLpA#p^BLxH{= zKYV$3Oy$D2xju$!us}2O<$3agghV!Lpk{7rvbk6q){Q|ct{{T=01+z9%YtnuZGHpLIpFtme~=I-e^h1#D~*`hderbp&ZSWkW4*IGl7pfP@$?~^qbYb~n1PU+=66Ih zz&co-(W)8m`4*GQq~(GG4LCb;wJ2js(nnIEr*T$BDSjLo#}iuT1JfIUID_D9r98+G zuo$&CLl@WprVTKROIt2|+2OGYK0}NVArly7X9_hmtvc*G9tk%rW2g<+k!?iZxRQkY zCL(b7EVVX5lwligM5D2C%?LHV&;9K$+SJ^7@W94n_HPt|$m91rsP2 zZ9Kr-8I;xp5j*a*M-TEW7%*#bnjjc$)^i8@V}>Tt^f5EUi?TRTw1Rjp-e*KjHUZL3 z=6H`$FBX!vkqC`jG@@q?%!d^6MtUBDSYosl|-7<5q4VN zpmB&FH1IFm#$dG1;BJ{&o~DDD_=rOdQ=V@#r8&%yup)+H{LIS4)^T8VI#>e_=dPG} z99M$DBF$Shtaz`!)&v-KWX<77y_A|0tic*bYx!%uhOF}=OuaW+cws=t)`5^i9iHcj z$&Cc9H6J~V>V=jbyA3uvAC*p|CHoRZ8na$mpu_n>wi9%;$Peel5I-vH1H4rSz>Zz& zD?fsTYy_iKzdtXLx)9U!jN8f?~ z^kqO!ur@P#WGqXd>;X2&<(U}Omn$|BI*b*tZv=Z1Sdo~>7PMBOp7ChFQ;$k{z!!0k zHNYG21Jgq=V{^>Fj|Bx441tSn1oPviHG&rghgc(&ekg2|AL_-YVLG~SBWAfa+%{8s zS%e6%QSc*1X-;|wPSSuZwT+@*6aoMn*&upkf_8;vL0txIfL*0;9vyds3LE9qrB`C3 z5%kV6eFMo@Kah+=PkGNd-i%1NqFoSXVA?XI zZ7+QPL)=Uwg|B0cqL=7)2EzB7_4>TpPTSK6LTe2n^Fh%?>=2ug@`WX zxJrFa*TML$GX_XkhShuLdzF{TtSIoL>xR|33n-7aLX2L>Pe5F}&(1u+R!}A0YtO=$ zQk2RfoG|67Z)gHJH=FZJ0A#3%yM(Ucr-PM*K*27tR2l;AT;nEebNJ^3B&Zt+qbcfx zt{)Iq7chLd1!R;9eLBNY_n!LB08ppNh5vtI<#O|E>o$dESW0)Oh2S4zaQo^2?Djj5 z*~o?_wXg{T01ws`0y ztJrcHXYc`MjTse;^6(AcQ3j9Pfch}R^uf}R%5`^L^;Of$v~pN`>H$r&$2X0Z!8BZK z^_pV=+9+Bc1Ix)Ul$&GaH@*ak(j4JQzcnA%>dc26fciD24!UZ@=q=l}J0jCHN7x$N z=ZxV-ttw%#fD}em8DoDEWTzi)QGe?rI~y?MsP_V`A-Y}4;SunEq{A<}fMO!x z@211AV7PW{AedM<*6OSWf$?AI?C}7{fX;SuL}9J|x_^ux`WTP(k8zidO8=J5rdZjp z?<2d_*%9Q!R#a6(C1~dGG-$1X&Q62Qp;BfTAS8EcT#9qt#iDy+_6%p?7v$@*|()+4jb z;P%2|xj#wb`<<9PEoQJns-esmMrz~C5Q~*G{9-;|9S{!O;-~|)7_5MN5o*aO3O(b# z)9OI;hn(~)Xf#qOa8_CSydJQRH>p5htYO0~Zn*)jxed3mP8rP&4%FzDVQihje}H2h zfaZT)4SLqe!r?~%1KO#u+PMS^0;Qc0qhUUwXbpJYHa4uPnS<>ebQFwXx9ZND$&QEq z_jnLk4r&r}{kFx^(UI1F-%ZDx%y_q0JTq{C_nmaSDU5fs#q-ybx2NM(FLgH9~v>pC)fD=6s-!^l#SkCe->634Y0s^#{e@1fTf%I z_iDVc%)~Gtjb+bUf0E{{-{e@TW%P^&cYQ&RLMss@F=|oQ^r293b`otvgpl7eEr!B( z7?q;mvlet~fEu&zA1wT;0Nl!FLGpDZGWB-BTc<=@^aKLNoDVvlqV* zcX`cfl?<*N9sRhTz7Sky$NNjHL9Jzv+cGn2nGmPvlXv@bpqXKHi8ia9u?mf^KmvA5 zD^b*kYl2+u4;&!&1$aY~*D{9js*!9EP4n*$(d9(#9%3~&(`YcB>6PAID&p5@tq11< zEth_BbaI0drH47%3*OAA+{Hg}7s-EaGeGrlpx4$?9+^}L@v9KQpB=Z(JSOfz}hfK!$=)hQ;{EqKEFxF-t>%#+MUF2h#AeSB#v|Jba zSSFzMhxK(I%LMQKu(V5aA5Apu59?B&S8!}(=nKad@IdDE&Vl=QxsUbsfw8XevEDi` z))pV@%>!d?^|9VKFxHhm*540+6<42WKb3l2fbLR^uCx*6SN>KLVf5<(E}qXLM*o8w z@_arJqc`4! zH!W`)cOlpF{ZObIG*=c4IBy1Uw9d9Lf_7N0B%N(DK=pbWzPif7|CSEdLK$^B>Zw4j z)v@{x(~_CE*2O7;j<`zC9FXom`=h&4(^)$}I(?u6J+Ju}dZyh0&r1Fd91v}$3|q{z zwzvjrQF_Io&2$1@)uOYCli1P!t+R@(yRna#{OIqjg3eYQI%;+F0c#6npf4?|{r=7$ zT6vni$m-E;!IYvGC$xsfDr7#Ldpf+;m+HHEF{1l8QVq{q0A9!2NiMkSF?t9EJSjv> z{G+##VRalGyt*wOeJN>NQ}#dP1Bf?<@!5h_MAZ8;&&dIP77ILJ16PfpI+}w5 zqlnKlz*oy*DF`ZE42~0+gjQ125x5#38I!AN9xyr|5WC*0ls&|99q&sEe$eVM%m;dC z;LJ&$9+CmMwXP?J6?euBsgahdnYDMaN5wr#(;ldrS>_PBL^7kTQ<$pRqZ-~{Tc;SR zq@`-fu+*^rs9Frw2upP;Q~CYkZGEPMry8o^mMWFe){y?%N*StQmTE;tTZ8+fT4AV$ zTB=s2@^wf*W1jAT&|#~g8e*wV%b+UmkLol-HP}*}&Q!}i&C@?}?bDV%dkLu$rsA24 z#t6J6pZURpOL^4!ddc_h z8_*0Z>oc2>VnK+iD}#!@$JWO)yG&aw2vK!2)rp>O@Bvw-=DQ6Q3qn+DGN`ipYfDeP zA;p3a)!7+T(f+8;Hf^yWM0HLERp5-zxAHlLiUlF6wHZ|UU?oF`dJz^1X8m9TBI}`m?fmLVGA(uSxUZw#`IJ3 z(TfhnR9QG)2M(Q0xU!*&1}h=hV5!tI z=P@8Hdf>eem!bz@2BV2BQwp2@jFkgCX#9&jO}us&`HrfWXJ60_F!qlj{g8F>uSr=| z5Mw?mRJV^eYKF3-Mf6Of3b-`Gb9bXBiEAzpnZR6UL*k#fzj{OMMG+eksmIzp9>hE5 z%+}7wN`ruqj6yBNOZuH3_4Wbzl3S)CV?}cJX*r)&*zguz~#m?F6spfzdjxQvi2j~=y@)y$X{Rw$mk{v z*o%<_Ah!ul#%{Bng1s7$Q-9Mccz!kf;HKORUQHrRc!xi~M$gQQq$My08E4M;F+1rq z3@dL`>BS^$$txpP(tcisc!2~>fbG<<`iyl7=kn~YkCSy@^DNjj;T>6V5Fl-s&Aib~ z-};1F&04dg620UlyzwLNrGg!SiwA7f{dt!fu{}fZ;Dv$FL%?kMsK^``RcNWX-})#d zqu&)_b7(^0NcXhfYeN%TxZ&lVZ0jumlzKFcF=t5BTv%PN!*L)m>IGm>f-eH0Q?@^{ zO~QIkPU~~PM)2#cecHtG;|(S@z4JzV#6(rwJv-iQXVNtc-e!6U^as54+~P%AdsOBY znC9#Sq2=x$r`Y$J>}8hqBSQ#II_jHaRx4&9Mw4S!(zFb9j9gV0?W6y?K5W&}fi@_sWAe&ibPWZ0g*B)&l>OLT?_mQ|j^S z(G4-KVfh3k)91o-Z4GUT;5^3ljR*c~KC>)6twbm~K~nC4+n2MBP+wHS19JYNvT66H zV<0V=4Z5BV`o`xHiwhjBACG$4|AY%RzFG$R_KTS)+!<#5*GD#QzO|Tx%`5=Pf|l;v z2O#JJ16U#u8ENxvETmHtO}?l$`@}?+f;J7F-L+-sT7cpF|4JD{$0|TaZM#I8o3Tx| zWfEsOtSz+=sO>2$Qwd4oyq#NtgTdU7_elNfv z!^@W8+I>y}4XWpmz6SMzFwd9=YS$|SZ7pw6XD8d3!Lz#a1HT8cFOFlP)TYKv9UPS2 zvOZ?&?fW0*g$M|QS=%ZuM;Ej>1w5bY7swe)uQh`x244@mVqK#NG_kHIVn*5od7Rg9 zT4Xy$o#~4QeSGSF|SFo3oBgU5O=A*P}#KVy-)hI->P}1 zQ~QOUf^1(5)fo6%1r90I#bHlYZER@dQGP|pn9<%3{zy;X+QDH}txhr=c2l%B)yI}z z5FP{NN*pB9HiwQKCES!S2XS6+jM$6lkP6cAcP`vG_!0$;6iVazco=7~dP~Sq)L@j} zf?xNd>(wO(x?ZJOOVF#}E0EGRS?HDUSLxQ2zRePT7CFg}^e?i6hT$gFb!R|B^uuE6 ziA)V?J*9a$Hrx9Aw*+hQB;=lf!*G&6=AR?+9?n}DW4!3&jy$8d62vQ_Fq=hPFz2<} zT}z-jTAGj+$&KyVZG`iB6RF{RMqxSWBMnBfaloGHl1?=>A1b@FnnpY^$0eo-Xo=`Z zwk%kQ(kN8Gvf2vI>hx9@aU~3rlelAaYjhryRt8?V2ipoR-RUsKp5%FNT=lt~^6`TE-F=gui5N7Roo9cKORo9W42%&a zTq70Dar8?%>dzpzn=G$I>rV958=}#-D2qWuzaoUgO`m45jX(n-0)-IxtqB>{!vNtP z;R%hHg9^~;8>nK8RO-WGb8ThI_82jar2+R55r|T7(*TTIeK!}!aSCdz&`NjIv=;EA zejr{H)r%3DkExBgy#un62f65*J57LhKlLr}5_1cjj|)uVumpF>no}#M^uE1@>v1^{ zmZNcL4%f(nCo;gZAjf1v33`T(UEUTAI2SOL#W9u|2}>cy3cQ)$>wvl(7f_`d< z=Tm^_#pwVmv0W+J2>O2lBijVR7+w?5nhYK~(yEC4_l`g>3avagHD3%gJ)dZ4b_HlK zWAx|ibf`%`EvFv$agCRZHR}P;(c~93s{#afJ(+uNd*>UUwRct~R?+m{AE z?VD-Zi=)R9U&6=I58vfguV)IOEo%g-4hO!wNzuO=WpG3D6WK4qxJiI-dlP{6_H4Yl zMQuj#N)@H(zou7k%wca?)k}rUSTX0L%C> zxI}M~-F)*sw0ygV;0@lKt6TMEIe3hBOu{%| zK_k#xIekBH_VYzoPCxo@(ENgFv~*q|^HxsOi+)#5RAE<6l(+i!Ju!V<;H~bS49FPt zGtZtnV}0`rtae@w^uWd$sMpiH9?gBN1GnRD8_jzwm(LC$q1F+6*Nbf#HiZuU_pDrQ z@lS!=FS>HMH$d}GRxTV#9`teb7^SzT_1pJaKXzt7)YYEu#bH{xkk5E8OnRT zb&78*Ah5%b{-5dM`8XQx7+S3&ssqe^Rcb_+_Z{9Y21jaFQ%0w zSnJEle82@N#jo>1nfvjlg#4P!aRgp6<3IYruGPrZ<>u9`GkB(o6QT?LRR;^mgKqvs z&Xu8>i`9a4(h=Fa>wY!=k-FdFzf#r2YIfH>QunL6-`3@F`$5u7zWtSh@>2cI00Ud5 zU&5iEp0j0(l36a#ps&kKE?*}vaxPXZGl`ii>SF(rPbT006Xjq(Pl24*qEGZazearO zavL2OW}~x7Z4O=Jem#6iwbx+nkSNEs7Ki564dx#&nGi}g!7PRe?bytQOFIJ5gIlb;W zx!RiTcc8!@-tlLdC{KUnD;GI1cMY0^p-BfMv;CW8%Jv@xLf1`N*H?ymUG*H1g<;8!J zBGt0IHRRUm)cDzS5C;3N$5Ku9ZpH>FB(^R2t^F`XW`hy7kN8^R$rJLau$e);-oWD-4AXBm}gZ@b4eJuRM z{_~}}+=>%P(`3nQ;dSz=h_ef0J}8?vj);zK-blYB1C{BnYGR;l|KCEnski?}f^16d zAf_xQ{!M}LNqp>|<(pZy|ImVbH;C{1?rwxB?YqJHOn#)RV7;*|+cXpRUzN!xiI@Gi zBjAM*cV%duyjXE3O{_#15_JaG{=1gC+*7(Qj$Ik=&DoZF)qtz>uF1c);2Vi`Sr=tH z3o`UizVU3`AJ924n$bu8niUs2SE^q8kH)LQS4Xaiu9Mfo?a04<*LrrojoHDzTN{BT z{{?JBasQE;f73>m&%e^!zguthZ21TEj8W;$NkKjPxChiT_fdxdZw&q)(es?8OPUwX zZ(KNM@v=ot^-HR%=ZpIG70pd;t6BxuH7r`%w4}a?3+Z@N?L(%`7meMW&2u{NkK34V zX;W>}vZeDyXKSjXa|Oei8Wzo6EE?Loo2ND}=)gaA%4i%s2sC%eLQZ|=Ri?&=9HLPJ zqE}XA@~f=u1F7l*nc4?Z-3KzQ59E+Okm-FOGx|Vgid0u;GyZjO!-`fYq0*#PCY@^1 zYLoV9wyJNhr&ef1_?odubxY?gsco7wU#rEhpPorTEE;Q-`slT) zk6x?#=(VbkUaR`(wW^;E_G!J3UaR`(wQ8oQJEQa5uI`TZ)~@Ep*3Rao@VR#Fb90WH zv!K3@vs4)^!0+Mq%e8wiT;8y>HdBD9m6`gov~}=R#`bkPBNMkTb*1T0(=oLRn0l^d z6Y%A!eRMt*&H|U2x3c3@(cHYIt-X6jb9WzE=ZnSdt<7`WPU}85v#!d>X2x@-ptjoY z%S@xR2ICP8jhZDZG?P2R#6rRC z3^v`=QD;R>EI7u79Z0*==5J zYHL5O14Gb?$qqVq!Qx|^jSCr}X^PVhHeaMwbF5uzr%XMj52+3e>UyZ6DKM+)vLAbO zS^GB`PnZ3;tINLI>9X&2y6iihF8e;G%f8F$GGgt*`i0F)8;-AMd%~5P;Zkh8rls`@ z>gO~G>Q$9L!)JtG<`bAzbUCA6ff+^DXY?yDo9OzCepO}kt16>kfmuY;qhCuKYv!+>kXz9*qIlY)+^98o$c1#Mpt`wp7u3#M%0MU?R8SqOaz)3QQ^AJ{)h!UIoT)3&m^t-X0w>#Edhy)N(tBpT9iaGAd_ZH=Jk zd(wFoYkq2a8%xkehWRS1^j_eYmX$oyP<5b1)ULpCt$8)P3Em>=*>vDe_G)cu7fV`G z%}wX7Zf!ohB9Qk3gmJk_xE!%=s;h0P)wO|EP)U3mJD{=FPWBK|S^6Me-HBQqyMi{t zYKA<@4`BhmsH^Ry@W#=h>x_=h?j#w-_}Jn)%v`N&yPIh<#3-4{>9duoBnZuIjE=$8 z+|a(Fb*41;A3f=WMv*wNqDt20MJ z<;*^QL++X0R2BG;c8xBy>ag;|SkQBdKgj3QE~7YY?XSDVuAn;}2o^+FNTcOp6g(b>6aHhI5Z>BuK1kJw(eFfK|N+0Y8TT7*5z7Go!27jyf%=|_cgiMy`Y+Q2p$jKE?DMN z@VHPxvoSQoaV)IFgya~hQ-Ybo3LKc+N4cw^Z(c0)yKwhT=zNN=}x9y zL~-22b(}22m-S;5Tg*n{(dt%GjDhA6sbv3 z6wpe$``(*3Z{EzCk2f>RxxGV^Q)7oPtr@*P7@wFN4hH*nZda#@)mETK;$~dHzVX6A z#cAfYfoRb1(D43zlyoq7U?ghLa-~*09V|4B2GZ^v2&_+=pocXuK{rM+YgA$V(Q-nw zC-{x&lKi0~l;98hvjjbKjS_TY=A`^^v^UeBVCsQLC0|-t3g+PY(4TCe9oOMR#jJ+b zK8EIws-KW;{pGkWb}c4B?@(rTT_(Zbp=_)lo#5|KHrB6B@P}m+r(2&nK}TJ%b#?oo zn`I4;9vlv9u$~jf3XqeqzIdsU*q<;3ywut1+;XE58Uv1!ZFB6BXsy!h90H5wxIiqD zJy4jC&Fkpi!hvaHxX}1x;}eJBF4dONr`deKBo;&swcw7p_^Ag9KD56NGo4s2hxfu2 zj<)xyi39uMw8_!Jf%`=taI~;De_%LYh!n;A(t@s)&FYy-L;$B7g#%Lo=N;{AS=qh`L|D-sk3SHWq3et%_ZRj~q0TKV*UHs)B{_V=X`bv5 zwEmjxaylNE!Fo(kMs*L4<|FgI=itGq(St)H`3a{yD?C-NE;d_@N-ILQ-PT;AxVT)y zd<{#BQXFzOZI=dh?j}d3CJJUXO)sbhM+@ll<8fe>(fo*NEiCo(u`QCOo*`Og5{XTG zyiI*9Ohk%HYobdD!o#jm(~cHUY-&MQm+Intbp|6KSQMNOvla$p>z)CSAuzxKU12C(t&4Zt7!_=C{dOqO znieLpWHhE`ip`2bm15Ug)w3MifNUKs)gB6F8})@?snHB~h-{6vE79>p<2|HF$_l0v zs^@t@tv*+G1t7@PQe_cpO-$v3sfnR+yqxDwUre&W}$O4j#bb-bjAZEbVDt z;=!JY{Lua52hm+Bie0EIm7J}$zZ4XPgP}?IYK5Vx!eQ>TY{M#P(K@_^e6Y7L@$vq? za7>}cHa0yP>@O&v$Rt(;CWl4~`wpPpL=1-L5o5U|4;&f`_He|U4E(6rF4X&Y?LxQt zq8XJ+=-Og+@6WrUr75vSRSxWoB$zMOW?k^ia`T}|g|q*bsjODSK;9Hzsfg;2eZ#px zFIxK|U?BzY9^;h$1Z5yW*_NP$Ba%&hTi$1L45MTucY`s@j2?`J-{W)dE7tre3`_Jf zV&;N%wY`!xwA84StF0zF6}sO2+fhREl@+WuFU-_y;n)`Hi@IXFlXfgFY{2sk3;pSgjSg&SuJl^9c{yl46||y`e7#J$;#}ufzf|bFIW}k~D3cBVpKt zT?gY)?uiq&#|fb?8x{qsp|4<*!O-w6q6uoL*L-YJ_8##XjS8En zKDw*WEBo!b3lZ9X)wpds6A@Q*CXpVkS_+EIX1!F!j?_sy8EpT>a=8)7;r6_u#|n=x zLcW(KfGgF6D`mUJJ%=Xm&*#VU6T$G*#Avu111J)4N4%~tEw@Ud5Ot5UBTm?; z!v?aajoONuFzZBqd=wiS*oB&EXIB~A#;`KDjb&xzgRb^KX@)Ouez(#^7H8Y~fE~8+}(W@I7^t541m>RGzFe8<79K2m**G|v6 zgwJBoUh(kqXqDCF@8eAsl(AK3}p<>9DQ)Sk(Vno%X4s#^a!EH7z?{fGG!}?-bM7a5=(s8AeyUdCkb;^r-U{f zc22B2YYZ8~xtmUsA5mb&U8+=3LY#b}IxJ(t!ya63 zrRz=`n@gB(2*T8Ku=fxgb8CJh%+FX2URl)M%95U~S|7}h(PRp$t$NW2=JruAI=Kgv zljX9Rc0|RO(P-)YNNRr&$$^fG31mg+x@YLnNMB!^&@Twc4Ln*w&{1RoXu@EejjROXtsEK1@sVd4W3p?yrP6f}#o6{QW{SP}AAuJ}AKs;u{OqjX&m zmC}4&2pAv3#4n-|3Ec3Paf4QUc?mO}5~saBb9X51x|l1A zk-)xKJK^+R&+KI}^#{!o_I>eTgPt)dcDr1Z@d!`Eno6%qtwv3;Vz6=eq+z*s{nHAXTJy9U zy*+Gno3q{NVxkRhObPE|nk7dUsPt^jw0O-RWrdeGut4Ubjt1iw# zn^{-dtwy~jlGH7uFOqZU6lS1=FJF2lRquR#q0);^pxQf9X+G4dFZJR>mfwV*Gnt<% z1QUhH;jM>zLkssdPxV$-iVI7%N-y{Q^=F!jpKuLLZXW2_wo{60;JdJsTVc1YEqn}s z!mTd$&Xr2NwdxFCW;)%gxq9)DS5%jFk=ezSw<7LVtmi?>R`?!sl>sAS+rZZ4#fKK_ zrxv$XYO`S?s5g+zaVUVtRmPXP0fr_=3dg8l$KNpU55;)lPeII;`AX{O1&5`MIxvfX z52UUZ6cKVva31p^LZD8`hh)#F><7AiEREmf@l@bdA?r;Sd|V+lb;Pm7vJwjrv8P>> z^x2k3aa!g0_g(y3kFGu>XOT>ICVfHW@n;5!;@fae?RdWs;guHprvjfi1iRE_Hja9h zO!xC|6Pe9)Z$|1aQX)kkbnTq!o7RQMOn3Tbfiln~7v+p%neN}Z#(1$5Vi8jhy5v$1 z`s`#MRyV!XzHqM+^b0NQWIA5GSZOC&U8dqeA>L7xEQDApvdZ|n7OVL_Aj0m`OC58% zrDS70?#PgM+*g9saUWN=4BLC8e#6BOMnwM3Drq=x-|lm2^C#6E4E7?W$z)Qoh9FhV zbYGHn#kzLypXmT^ zOvrZKlaTd)PWBqgQB7+2M9nGQ)e!I#H6Qnv&ZvtY>V7?a@k8&vsD!bz)1S>8`E14s zxEB1FP3e+uR;K$AiKaximFbRi z-XaPMp;7b^qHn?5Rrt$!ouozZXT~}W&@;TdSBVnbh?_}T*m8#X0%tT06-61!gQA=x z%|EJs05@s`UytH8Ri4meCV;QUT>zo;CV;OeZGg%R0@SjOYgwy6yHLCMrZm5IZo<`C#N@V_Hk$veLw-`cPPsxyj~zUljDm=3xplUd4Nyh*7hdwH13U$ zs1^0W`%nVJo(m}%Qha)%(Wd+qD%KBSdUD`{Lao5nc&K74zlbhD!RzJAMkC8i(laaH zmA!&cwCjl}pQ`UXL2jF`&g@P~G^+P(yPohm0~X@_=qtrX<@mTM?}T?f5rMtPMCWl^ zbi(-dD0*uYeMc0H)Ye$#4HG(!M&C-KHcjWA!;AHd#eWgxXK-iJtX~&$grCEWBM;Gx zas!5?W9#|5nB2)?CJoZPUQBdCzg4e8xP32xNr2vLHYG`gQC!P_-DN|fMcjgAu~ z$P)!Nq;VbwN&;Y?MyH7q+@aCqL<#QJ=n0|(_iMCFl;F5V=ZO-W)@Y3=!4n$A0Vk^} z_X6zoGEMhjjxU;zJ?8UxGbqzN#4e8DWd>o=PFS-6W|UWOhn49KfN$ca1Pg}}h+5&B z0JEZHy7y2xPcL~EHySfvmvNhcfzZn)fUj3NEGfT*HZ=j+KdkeSjP%UDXjB=!3v0)a;bdSgZBCZtJJ8IiG zWCIE>3*C?8Ud3xaga91J3pEg+%9X*ff*ZNJh}RzxJeqqEua^vXJL26<5!1O5yq+{5 zqd!g&Xzy20Lojk%3!MN+soIvguL7cAgMAMWWgF}^$f9_I?FPgY3^oGcR99mv_bMX2 zrXvosklqA%1vi>#3rspQfyzxIdKovhBHTt<2G8S8uXJ6=5vbutj`=zp(orgaI&o2` zj%~|;h{~M@`3tzUy$M{xeamUpy}o|Oiuw=-QBs~2)C{Q#`kO1$%`W)gfjc*d=e}IR z&3ef9_i%6gg!-hq^8>jHIbtt*N*1!n^a5@yn?9^C;1cFxF~rY)5DfHkF0%?B&GDrV zf<2Ps>q*?8^2KCXIUMsb&0HgSI>#5e(1@_S>-bUTFNDIWE~Q^#LZ#ehFmGYp2XlP& z;ZC1BcOge$mqs3jW+Q;caf6Gm69kXv_|oc#6+mcd%S`$}^MdM2RV-?yAC1nSj)}q- z<0~|_;*rJUf{FREK~f2!k8ryq+``KdEJ8^7v`{XFpsKoL{rYwKw4g^~NOuqhvvIpl zi(--Flw%Rx(vyn7BDY?Ml@L^3;CISai~7py3L4OhmjMVDBZE^6hzkjGN-P> zBE&mZG0RKIk?aX$M(ExH6f2-|uDju3wSSAStEk`UGiV$>uMQ;LE1TJk_#WM`qV`I8 zXpU9{6!VCva{o^o4-a#?h#NWK>p9%I_P++;b&C*Kf#0Yb34yb?kzu}mWa$JHk2=(C z4*<_SWHo&nv7g0_=D-(|VB=v5cTvuCj<5T0cb!h2@E_6oY~`>Y;3yZnF{HAuaN#=?hANbGT<|KX>PQT zX~5(N8xO(9aI;-8Xdbt2JOpYC2y%Q;nrS@bA{I8DGQcI=VdEjViktRe5IH+G9?|z9 z9~@+_aL78E;|uE_I(x4Hev{-N$Jbl9)8{r{P{&|PJIZIdp~yRX9uICaDox_53%mt$ zT^FFfi%3p*G-~wbbPN%uj^t~KR^;L6HH5|Hw}RE0hL{W-+2~y_B5_k%a ziv+k_aS6A|zJVuwuI!6=TqeNB%LHD*gFdXvo`5S!mo;m>)vR??v(`t=?glsA)2#JO zRn|JCSvsn`ksPGlLM}QZtC}t>Jf0?S36JLqSO*tnfM{gQ@}V7CKG@2#e6SD7^1+Ux zjdmoz(mv=~6n8w7!45mi2mARpX*uTqeZZVP(ce>&AD;YAzhmj?={5iHl?y&E>8&o7 zYRmYut={@lEBsDHf0h#a)Ffo$L(*eYjY3%OGE3Hpv^^`QdN^zx?J_6WL(&}vvCXiP6RX#z< zsI~Q|Mk$@5$t4+6>V0_ba*Q5#c{^Fw#YN`zl5y*VemH7YwLC3z80>np8<~9hU5Pfa9<18*3Sd? zwQz0y^T2&ATwDJd@J%*4^!q08w+o!>_O}ZB?}5KV;NJ)C>)+b?zW~Rt&W6|8`s={o zX;H}kPvGwo_)mdj?LNHL)^9@L{)$Dxe;e>S1pYqY?-uw+fZr)_{P4PZkHB{Wf3Lvr z2hKKRuC?{U!2PwhJ_B6ZpW6aZF}&8+PXhN**49^nNc(>VxU~P1z@`1a2wd9#B5-N{ zZvmI~=U#-g|K9;DA&6Bb1{ZO2)hxl-!K2)Eab{^dsha-)B_(ZBq>{R>xJ z%#~7CZuBpa@#}{FV(SLqH~g0y{>%0GFWqofxjx8w2!2LM`=Z3nl~V6PIM)XG2?xV> z8s~Nub8cW6H39qt!k2*C_{7bXQgwts3Wt(DW(pkimnhF%DfP)V;aqvN;pFE6q{O+R zXz|}M`e^iqzjedky5Vo#@V9;*f9rGTPq^){5k8mg&-Ctv?qO2u8wkIM{)}m`KYJE9 zcPDlMxBSG-l~PU013%}~ImM_;N7`_WQ?ZNSjIKLpiLS|fCy{!P>h4eTiF}*r) z+GCnH!XE*SYn2b?=ktvJK8t76H^`6M@;?vQ=dVjmxUtTp_Y%T!t@1&6-2LNtSO(7Y z{sXuyub%?v`UJ;khVvs^d$Ii91)TLkrw{!%1NZSasE-={RmLIz$0B$}jmG$oM8eZ* zK8F7Tbn)^ts*Z5ZZ)|yg8u$t5vjyp~JbyP5Kcl{6aH^xr|Lepz6EphRZI3NHu8UhB z+`iEVG*=g^JfuU@^x-%5q>_-fWKLSgaWQ#IltU0Qlp6$d2k$!!ehR>QlqH#+HhM)kE-1rNLu? zbO|?#7HTe8XwE?`4>>_CC#U63oSZY(bHUvqRVB`WwPk-nZ4mnB^amZDY zh~tiu#K@tusQdOv60^zd&jsPxZThsrC@Fe&Q#4GRW)%%ECz1NI0sZNYJ$>@5$DZin zG|kf*I299nweXtRTBD?;XHlNw~3eLFG$Ii$zQAjlUIDs%>$VOQ>uNOborb|}~2&+!C zO~xZJ?ByW0GJD!nQ2r` zTCB!TdcsJvP|YFG#L{tcB+uM(!W)Zo5u7sckxs+7X4mxOz8+jbzh4Tc+s{4xKI{8K zJ}y%+{4s3!-JJmvUKac?aLU6LC$H6K7*Sl7uNO4Bk(Q5>5%{R?vV4P}P#$mku$|=n zTF3)2`XZm-v-C2C5sEbFn(ON&@O{K0crzVdhj3e16MhwQCbvdsbSJ$WBme*a literal 0 HcmV?d00001 diff --git a/neorv32/sw/example/dhrystone/neorv32_exe.bin b/neorv32/sw/example/dhrystone/neorv32_exe.bin new file mode 100644 index 0000000000000000000000000000000000000000..86d85b6e7b39174663945e6418dc3956a6187c1d GIT binary patch literal 4544 zcmaJ^eN0=|6+iFGFA%yodl1sDT3&eOE2Y$J=xD2?wHpj!N(i4NYu7R7;v}eGH-4r` zr+pX}5r&rje=JGWD-ibY*``TG&GAR!vH!s+qJ(EF&xZT7iY&gr@d>_xZV` zSu~`>{W#~HbMCpnbIx`8FV8f*wvnjxN4NaJY$mVi_IbyM$cp#iZBij zYsD_ubG?xo!)T4vr`QYA#J>G5Nv9Mt^!FhXAk9@zk;U0`u?ksLy=*1@Nwz-)zDk5VvnAngf7#3obT5`W=lp>zymE1^lqbVMAo=GO5`e!5T5j82SJiCCAD1=rycf7EO=4CQ&GpwOj+69x~m9HJa=tw)q5P z+?Z$gB#%G|kDu!xlXR~p2pOYnBUvhuIojZbf8Dhmu;I%3t0~Iq1sZj6{}<@Yp+qUA zYY{(98UEV>o!c~7O2pUJuyO>le}`<*+F5-sQQIyY}}ETTo8?tCDG6Y$*A5a7*$h( zvF&ZasJI{)WlI8&hozn-_EA!-Erz{f5AJE^{fXo}B~GcZrINirR?hc7yncTYcWlj^ z&rGPx;GGfXzMs0bK=Bgxs7&y^fb+SIdFU|95${fwtT9!v&Z~mvYM@JHZm+dcPwY7t zS*cpGT!{bBdBn0D_pzxaLTA*h4vw4I&$9C*$A>eY;dokg>xM0Eo7;fy7Dmdp zY#s!bkYC@qIK&0o1DF6$Tua* z<0*Dd-E5_biH)c^-a5$db?og~^SSGy?eoyGjWNf^72Lx&o%1!W$&O!e`0K&nooGi5 zJRu9#JF=iD9+El~)EqBqMT(%MP#ZfGfr%-%^UYH2t#)0j0}jwn8nYNUKjgD9pkBQW z1LWrzuo|MXkW-inxn~cvj)NP-9B(XIO_Wob$J;6@LVVG0Wf$V;yOZO068)X$GmnLpoX@a} zr)2*Au};(pS`4FlIWsXoMp|LFFfpG*Y?49_TNCs1RI8GkkA}XuinwrG*jTe%^BHU9 z-3{y|#J1yJoVA$vIgl%>T1OGjnM|#Un3mJ(L~5SqeulG^oLsdr#otr>3_7p>I0JG( zIr~i&>*K0c;8m?Wugfa%xuml)vD;-4ag%@_32~C(uLS&vz>f(0h|(Rv z(;dJQY$uQ}@YTg*!3yg(N@oj+iJlGYz_TtU*W|HJ(O=cL!d%Pwn$j#Tpug}M5W(As zxlRa{I_jD$Tuvv-1(JRVoFy9&%L~NzBX-I;;5qI?o%p~~a9{XtVR81XhIs`2iBY%0 z3S#P9;m~LH_rF^E9(LZhhCKZ4F4?^4>v>&ssFk)&TQ6)%_?Fw{ch2ScKHtmv1G|6a zc5zRrzgw={TxR?&=v`r(+MPR{eUJNW4Hfe5-UII=)})B+Pl{YU^2+cJI*R@{T+fc7 zx;=7+T&@wnY6rU~V=Z)%eN z9~lfi#CHb=M+Vu9Lab4t$7{JP=gvK*q&c?*GN&O!_-hL6Ykg$#`$%JqfeW$NI0ZdK&~eiiUwmnk+4}=t+yZU zG4;OS(|RoUL`XLyeIY&8(-*3)uFi+HKx^y1)~)vUZT9zvgQgyi#PkE9P*`V?z9)Nn zL*3PShZ)ize6WwJ=x7VHcGd6kH?-Zc^Ul_ermni(t>0>E-`5n-S#Ky9?h5wy>Y-zy z9Xs?`B&PyCRdeTG6N#>XWQoWPx*b#jssZ^xdqBSdpVNNKyRTdGz2D!ke)EPkmw5+! z{{Vdgx&lJUrF9@TXfp^u2dM(&1>Fbofp&wML4Y=Sj}h%?Z4W%C6E%j-ShSzF8%>CL-#-XO>L?db=D{k<_*r^epi(4kNi6V@NLo`Yj4~e*w@kC1w=m1*L8tM8g~VpCDzS*o1I0K-q_UEzLWGF zckx@YbD~Q3*VVP|q}T9{xCpAkZ|3(vAApuYguYY&+6<}#pOXjk{7!#sQ)5%ZR=usW ztFg_mR~!rlWBs^iJsLXF-xCdWSL#oh`tBRK!KZ>fy}<*$p-PU2zF>?U-kR^|*r|Ju zl^)Y8ob-w62YZ8u%*uR6Nsi5Nq%$*GiA40?eb4rqd%sb8-~IlFchv>z*Ve%UtUGj& J$7pSj=)dW=Id=d6 literal 0 HcmV?d00001 diff --git a/neorv32/sw/example/hardware_info/main.bin b/neorv32/sw/example/hardware_info/main.bin new file mode 100644 index 0000000000000000000000000000000000000000..ff71bdd507a70d18f61b61bdaa260719b3db23a2 GIT binary patch literal 7716 zcmaJ`4RBl4mA>y!FBT~lJR7sSYibagvgGGLzKlz}&hb30Om$B_xlYTeS(*#=bK?V_%K$v-WAQ zkJ3+cUMlg~qL{-*D|We;*f$*`sza_;YI#<5*s4p^Jm4wt{MjcM|31K< z4qU`sWazv~oFvlg8Kz2jhkQyd5qQd9%ZhXAptw~N=T^|)3%%}DSb^0<8T<-iAL!gt zNVH6mc~3S{Rk*O8mrU_$DcPcRW+;y>;JZb?&q)_iPKdCzxP{7=1n`-pe5RJo^UKNx zfu(qDCPV06Ll4&}bp0o#~oTq zVaJ=Qqhw4pc+l9I`q&s}=-rs(vlzE6nR1xu-0&3>kMYz;CLZHk&GA``+p;E}1%A=Q zV?4EB;#sOM=NRYU$9!s2FVERMe9F%7TyZa#D)w+(3B#pI##yekmra#=Sgwp=Q)S}} zSJ}&?Dm@H$2g9W9KnyU9K;z2bdzQ+}>B>P~PFIX?HOCo@+j6>MJe*CPWcsm=-h+O4 zF?F(+&e4z4`2yC{n4|ZgAD+iLoueP8^UGKtz#P2?{qP^LPUq;y>HHGbGnik3uFLka z+ZZWNAT*xsn~%YVC&H&47*`;_l-$X{e~?ec7{vWowf>qZEpKdPwDl+zVAY=Rz4geu2T5_5cU7Mqu2VmgdC(iabc4Z7~-W2V*RNLU(_-^pv}v!VjAz> z6lCm>(ma`+YkOZ3YPvD6)AOxBX}7*d^NjMH`HfMM=c(W8I`_}(zH8b!hI_fkJl{QQ*jwK; z&yc~oE7gqm=Qz)3zu_54a1gFVAe!hTQlY>|S-eXv0;8~5o2gsaRZia%`ZkT>Ew49x zYqH<1`<8fRop1S^)VFlMf`6^|EknNW1bl#npWI>cR^jLWXX+kD+S!3+& zf$zL;(hslUy^jL_2NQDy_}ut$*sI*MSDAS~uL8ShU?;u>?6Rp(FL-}q+L^}WAIvf0 zZ|YrYkE-A^G^bttym-M|!6^TGlBKysDlEnuB_ifd(!3k6kIc|`G2aWD(VK5MjWIiF z15I>Sse`Rf`aY|JbxyQudj(lE>Zo(LBg7nEK&1G5KK%E}^v%bYp%3yP;!m#;jJN!A z;_Eu)dV$yYB%|fc(KqbU=;RR14f)dRb)*RREBi;O1`pq1$qk{?p>-9 z9E_A$$cJ5TRGx$`!do={Z_xVtS75)7KhHMM=@aA+ymh^J>yF{AyA5w0jrnto!VB$& zy}eI?pJd+2(^$LF7^epz|3>;opGLh?l~|y$_YbLJV&31xF|6B6tOot^x#HyV={jO! z+fJv7-OFl;`x4%>OKPcniJslSPaFq+qcQe=5Av@A?+?uRFEO{8e6e;e?{cZlDM1Hq za)wc!o!M#f_$$cYV2p)f@DtT?;As7u2P{9rj1C zUZ=l!{Hp38SGAr3!t;>FfF`fe(x8ofOY3p0+bjI_EJ;4D z_0+7RO@QWp413wNz1|m46CBZc`q$$?8+#Nu_d48*;CEPS{X6&!a?`4g6QGkrhQ8h( zfPW8Sz&+YCzaH~L@JlHB_}sZpVjeg5{0RHwvvaRa+BZE>M-_Ys4Xf} z#bY@f_KgKh+5ZCm0RtzpN>X&1`^T}rohuz%M(s=#3u-g!|3j$zO2(G8H)@HtxQI6b zwHfH6Cnk{#Kks$-OtAC%1!cGx6^l`1Egpe-L!p#9uV% z!t21hL3f+@cT7C!$fxlcgzX$VQt;I?DCHTkPcr#x$-qkefHn$6JaU+;-5IFv`tL~mPB{%bXlcPV+Vc`o`cCso zfxzo;A@zIIo3P1W(7dGkds?*VHL9V*8(6EL@0SE0!5*Xj75?PC{=|OG`(>~2pmxQ( zws{ZZ+KhZpYvq1?k4U4IqTf35ki)D`zO4x(_`Qu9lZ@3>2|ppJI)RK@?_ns-o?Jrw zjbP2aT|4?`h~*KT&-95aS2ar>>W4x4+n!5JoWL65TFkCUMfiQzDl*D*6Xb<{#Q(tJ z%Ct>frnSQ;YB33Y>J<^W%7VQ`q)NO%>;%8tiY)p*Z6Ld%+eCF(V}k*XkwIISg3!`(0B{AaV4$UPUE*StzoGxw9L$ka^WOTzqe?|YZxhxUR+oi zof#rhL4X;>?|rE#!@v%DJvBNrLtG-U(cUi4Ev44gSDIyJMO%C$UwIC;ZTT|JTBLvL zfFCc>ZxiTOTq0~*N|xw1lIic^Y@0@w*8iqL{X_jmKa2kRDsP0OYK;0$`M!Q1t92dX zb@e-qa>4;0JxA-GCF6I@@D;?yRou~%ee)$=6FoeB7vkFj>Z_7FIlIHd**ERs?6M#A z)+6|~(2H*i$LQ}we23^|slVDc{S4nI#(8{;;PK6Yw^uL%)&;wK3BHTk!wTQcBcgPj zPt>kUiqb}>sO32=+D5m9JHr#@7M|u74zbC>P8{^*kY8B%4-5Zcxi2B#d}*BFAUlP4 zfvy&63#Fju2JQ@M9oCVrv>&x7e084kA%3fhNkOs9TP4BA&dv?vcN+axF(xXOHre^a_r{1JGYyAau-h-R;V;s)${}REy<@~;8L&);Us`6o%7qDX?AtBhPWK6Y3rl^;fxdrxpfcUp zS0#+NmAX@8R5;}xKTZD~=nFnTseOF|eacyZDD?vQx{G4z>hwdxGF{yR%qd`~e5?0R zP)Wo?(TJ=>ySl@{crZ|jZ@Rzb2)PAyZy8!Onj38=8m@-~(7MrH1%|dG7(cOYPHAsl zGymY4ImLVg8r+uH&~8Cl^pbeK~qO)D?`G#2hVn zC?1SOa9|T#>sRA+EMs3wLYHAwqBTnS?Z=n4MZ6E%BA%ND6b_DGS zwBu-F7Nd<|Y_)FNChzj@Zroe7MfSy_^yZ>5d3!X{5$a6D{QAY;Z^*FTr~hFQb}+`e z?0QvJLtTlm4&Ex$Ke9a>RUVer?qD!rP-M9{A@6vUZrB~U9Y*bz1Htx0XJ;@50NI{U z%1fpt8n#1r(c^nE(Lp2j2f0^>w< zh0=*!c95*!V~QuSgH>B@4~OFMaF8U7J0sTmCXY-nH=-iORUJz2ZhuHoW3t{y;u!1? z9q8`T=N-X_5)5HT_IJTRl-3c79HRXpr6Ym9DFB9gACHAPWnyhkL_(2HIoeGvzb2#B z)70G7(6SRyqK}())Ymox?>lfDv#-gEVv_ zp)Po4XUreLg~dYg!xi%G+S)sscQ?v3Cgf-&d>H#9(YPGS*$jbh-QjD%#U~kNDEEZ)0JG+t1{y|VhgaR^!6*bM>%9z$>qJ5hhWz?4jJyzxS&1I9UB>=0 zw|;Ns)Q|MsR4KRn)zyq(+$t99siJxeHh3G%OO>$)Id07yz}5KU{%bgx9=480&8K;+ z@($guAUz<0smGhV(dVqK3sQsB9EMC96Vx`{NEx87DWX%_=`yy%Cj+4vT=@1be|L8< zpr2JP+u7KHEA0;Z72S{J?r1oq9HxujLvdIkp(4TJ&>a96POwTxtc3iL2tr#=HtnHK zYCdAPx0U3s$QO)-x(@_n{;;}wPja2FemBr^1I@P2w}z64ybsBdV(NU&4UJgaSMSrYyLWk8 z>l+~zd8*aZxT}6w-EDGHYa5NjvW}pi2Du8OJd%LD0_E~SRo-#!s{f!r6!y1=gXQ$y z>hi~x1GiaC9{1_KB$v_GIuypGbcFq#YPr>9l3S+los1AKw_1tw&Mj5dU%tzA_dT8m Vx7T=U*XI6qB@pbO=UJl>^8d?G+O+@x literal 0 HcmV?d00001 diff --git a/neorv32/sw/example/hardware_info/main.c.o b/neorv32/sw/example/hardware_info/main.c.o new file mode 100644 index 0000000000000000000000000000000000000000..0e6f5a295490b3d91e3a5834777bd98867324ff1 GIT binary patch literal 8912 zcmb_idvIJ;89yhxo3u%rZj#a_w9sUMZFyv~yXhNRC`sA|LQ>K+ZK1MkHaE%AWH;`; z+oq*JdCFU)Du^iMA!>CLaYSX95fsM}IttD>GW?~?Fm)UpU72A9>$v{D^SI~i+8J=h zZ*uSX{l4!z-+6rJp8MF`);F+~bI!DI_8~Kju|JRb%i3&Z5>W z09%58z_|v8I@bssFdo~3Wo?swTzF_dF^0>-n1sOh;kSs*r%?OCbgs?RA3$*l83{1q zyk;x$M?eF2=@V-rb7*xfxrqpSnn}YqheSof5dNf?#bE>xfFdE~vWcfag8aHbJ-a%{ zujla~-^b(9yac8fr5P$wm3rJlRcUmn777r7@bg(i!{&xSBPL`O3p6fAQUf8@59eS% zt7*Np6&+my!^Vb78#XkMzIHpih2I8H7vzD)%^h_O9l`#VjUB;DLmN73ISlh+{PH$b z=?I}tNA1eC%i2giKgOiG)>mUd+c`xedqWeyps}SPuwvPYT}zvIcqwBGRQE8I3!|b} zjf>D>>c%4UjD=N8qH`!Q2n4ZMcxXXw;D`W9Q5y&dT2~vO)x<-(4Acffq>y%Xt`Qn>4%KT<9^jKPgw86Fx)Il1BttI+g}>|{A7UpN5&V!GhP zQ?mtxnW*#{92)HN-3p!~ol;W=Q<;2jB0I^Z^SQ}(aVqBA>}1XvZ>LM&Cz+{qp&~$L z%E|0cW!N`@cZG*eodlKu~6UkUI7H{vINsnbWWv6Gmlfb!r=frsS0FYPAIhzXk z%znoML#R%7*2!!_C8|=$Ol_i3W!pO^+dGHc_RfikVlG2_ai{Bul62!~5A_sh?o__u zwO4dTPAg`oYF>A28SWq1D`#{joz0oC(h$UWbv`QRH+>+TolcKUJC##Bj6|4Oq!<-> zf|*jKTJ0O{8&sVoPo*8|?X8$&*YS$8jCvuuFQSusWq+iXFplSo)Gw7lF$NV{EP$@5 z{EX8D|7=&UwJvt2t1`I{UswX<)eKQ-LHv!`>kOGWQ;4|X|oX}alkA^GD6(*-A$ z%}wMP%~mYK{!h`m^0Qu7A?s!i$a8nHvzXhT%OA{jI@1$f*<5D2IPP@Kq%%|5oWnk) zyL-rGu~?V*=XzZynr+?hxhr@fSSXx4FKQ!M3r5#cTm#A3cx5Fpv2!VHkd^2b;|tpIF@b>EU$rs-jkr&01?tl-YigCsW>+Ss zQaOsVzJC#?9+@q+RNe?Ko(oWty%%S*TbIg%;AZnJmG^*~K9tI1;ItD;DV3)I#C=yN zO67wn#j}s%cc7%Xl~O7{1h7z3wEs9T?ypKpfJgP*M_ z;;#g!7A~doPVg1l9sCAxBc<|9;6_y{dm!?zOkSn( zt>AY4?*+H>|0uYf|0lui{67zF=l=z8JO3|(+xdST+|K`R!0r6M18(R4J#ah!AAsBW z{|Ma9KMl^#e?7RJ|Ha^T{?7%s^S=t*&VLfz&i`fLcK)}5+xg!CZs-3xa6A9w;CBA= z;CB8GgWLJP3*65C!{B!Q9|yPd{|vZ2pFak_*k2H(@=5UPE&eC)n=S4`fPl3UNFTsT z_z3<+KAE5X6#izt$avM<{T&ReaXs zJ_Jy&M!rfsVfu{h=E@*-z`7D7H!ELqGZT_GiTn%_5hD4QG{u!b`J+8hisXOORN3or zP1*LwDt=ZKk5=(`72jCJ`>VJ;UzO{th5fr?{RCM6o7IiFd&pOv*}R~g&z6F};oHM( z1^70fH?e4ie-rBjH~Y;3wz|UJ$gTvx%I`y%^;g)#YzO!k{rF+F8@%L?FU)QL@3puC z-eJX?2H$PjUGSe+@eYIk!m{51PH*2*!t8$Vqn7ZDPdNFn^Rg-_}7C!WASG2i>&^h4gQ1`F9Kd`*)IaW*|I0WjfB_+aC?1T0dB6k z5ZelF_WKYU0Jry>Veno*V2JGjxA&VH!0r8J9NdVxvyv`g-MJ(;cP`1#Vg*&7`rM4` zb*SDs9UE1jU8fkx&X@8Ju ze~f8=h-rU>u3y*n>$-kj*RSjPbzM`}pB&ep8`tFBP53?Z{Meo!+w)_4YZ<)&kEVup z^bGa(rFwSl92vSMekNt&Ov>b$l-(>g5N~Ja&!OyzDQ|Ym>f^&d$TXt?m zE=8#d@){T(ASRus@#?E=N$I&x7SsUhua?CcS*(@CdRc6c1*Sx}Vm@T40^tgfT*biJ zms~}`qLy5>h@~&Nii?#lIF`9ARXi+k$yGcoZOK)AtXawRNOXM_x0~W@y%LuUiat?{ ziWwOdlQ=p+{-XnA9vz^zMhB=RIbZahA}%%@{Su#|uU_&~N#*Ag^EszdU+GJ=%_lGI zd8RLE+E(!~j-FGSpQoKyzVmcTDDDr<-;{**T&<_))UYe{aTLwpGFbauM*43{Jz=fy zCH)DhC#>~!FFCs)^@O#42k}&z|G&m2|`%wX)N3$t$g3&MTzNMiK`Fk^xnhi zg&jigFnmrx79o5{V!D^}!xF~`tCtHJ3qK z!e5p?^sdhzlXx%T$0Z&o{1u6(fX{ov;FU_BC!eoM{T$&ZCBB{T*Cakp`0En?kT6Xc z3a5RNJzT8@!n(lSOks(c;-j_f2Yp1pBIl@FynGD2F$2uGC< zr6jV8w2TpsDxc?oBQyvI;i&RC0UX&)TE+-RmCp;nkv*hkjBr%>yaXKCOIpSVN0rad zfFswDmNCLn91R)$%KC}k-XGtrcmHE{YFGq3SXAEAc^edrS zyHDx~U$8@B7IP2Hcq8hOr~$71xm0gH{fE{hfNL}KE5Fq{%G+)WD3bUH?! z2!LNzinGG3^yVIeIM&U)!kjLobCV8*r%=+Zkei&&x?ZJ{?(I4bn%9uj_!JF}U!G?i zeD=2^O%&2Is3krX$I_lx$c_~~wDv#9GWg;W^}o@ruBsbUyhHin^-4&!AH^V{`KNos zC;43hAF7kYK|=AC3M5a|F4_B3NqYwgU0Q0%2(J5g1sGu}NshGQ_i~g=aD;G>Z2=Bi zKDw`f>=hhuSqcqlN!#(Zx1o?f=I_F|DO zhfa%*_bwEKwamfq5=~H2-${Ot!ydT3c%cD}qvm|L>fcdH3BVwD$Y{&-4GD=WibN zotZP|oH=vOnKS2o=iTM1+KFSOl*0Zw;$vZ3RRD(1kld~kaiK)HC=fA}V)1DemO_ZW zrW!y=v`n9y>@V#m1btir0dD!D)k1LkYsM!?6(1cnzxw-117B(2D-C?5fv+_1l?J}j zz*idhN&{bM;42M$rGc+B@RbJs=Nf35Dk__Dt1GvFRa;AStZC!a%Ay{kB_>xL-6BOx z+p5u5#vJtF6T^*v8$L1I=(ph$3!}s*mVpwVSSCt*ViA=1#G)wiiDjY0Czg#8pI8n` ze75vLxpwXAML~=geERSflx^$A9!`8~mq?udvQT%sq9p!j;M9s(aI9E#;H{%YA>f8W zpR}zXy*@GPF#1Cx78-}X*~f|sz&lVgV++c*HBU`XoV!`H#slKL7KQ_-`>_}vLbTzt zUx*BvcT=ugrRkoUs_AN`wnx_n{Up{1=G7#o<}N}TIyzPoUnNR$8tSxBrB#0wtwq1xk9>1xn(V z21+VcIVJt?a7y|<D~r5b(_?^%IZxz@w=QK6y?^v~3B=HnLZ6ocOqRZe`n{u_E!!plCZhRI~-* zqibNpU>Wq-p=y!X5z;(pZN2qRU0+YOG4*FqpMAuPBNrXG{WF7)`dgnGeAK&}`ZK7{ zK5XzE;2$#hsK51z!FNbrRk~Bbj{~heR|Qnb=s;_U45(hKRBNx%O7)hiwf9a(m927G z%SJn@Tsp1gJEf{vC0i>-OEpl+)`3_9Qo6LRG~Z`vJS(fhcve=_yPNuY)Mr~+QNR6g z>)mn<`caNXdHbQ(yH`;gV^QWQWAM|61Fcnz!0A zb{yM&&egEpVXVu8LhZu(2|RUdzsNm%Z$Z^D=ufIr=%f@n=>whgVVyXUiQD}RGl%u)AKE$t`_JZpYvV{WNXGhNXZ zsuZz8SH=!wUz+L4gmXC8$hKf;AKFi7Z`y&qe1i6^SJqUsZ-qYd`PS4*@-6l&_?O?e z^!V-u_<#dH8EAMn!O#D0WN*g0`#{&-W$^p`x?Z~+zVkN&Uzx6-uesaV@Umt6w^NcSL+3Pg-pDA zw?nZcR@e)BR0wPCZi>5eO18a6>&5IBxwLE=V #SxoP9LN_?tH z`inz4kJ4*d7Cy~Uf4LLS6HtUt~X^j`ii)>kBv?FQNcEwU}+0PSHP zZGUA8#fF^NOXI|lz_#jGL5+xA9a1z#Y~^P;i9?@3ZdbwXu_11$j4k~n2YSso#Gp>w&$F*8Cos2)Gw%eb-(KelcT{>(Q65 z>uWb+{CwJ@A4R@1Wa%fg_I}#hOBnm@+JydGgWCrAa#XLDqmPag26y(Ot-Y#`HubLl z2>aPbO=a zQt^4)@&d#x?CBh{)@}nor)_y4oP@b|U_1#}JPBJ1*p92(mY4cy-S6%fEmyWJukpcl z0akqlXq_Z&?VZR8E^k}D#*YWsj>~{o?ZdkZ{8qM|^9XzfvB{Hh7vN%rmbdnM;J+Me zpnCiAgMOUvgWuA`tbm=@-Do!(ntMRsy#K)OUMcB$hd}-|Z2y7l-^4zSJOld(>tWXq zfPbl}-w*st_aDf4$Kaog`o2{B+6RH(X!t)2d{f`G9r7(ij6KS8xHdFc<2?@gi#5$S>?@(l)?O_Jul8r)Hy{3G+fSf9&+zyK^fg!0ttVYnwD#Iz z@j$m@jv4!x;6F#>g`7l7sM65y1pP&-Y{yaL&LR;+ZbtsU1$kfZ9Y@<<9xK`o9l{=g z+zjyTH@$*b0C)gC=fclstf5#ThTOD947qU~@`IfMxh7)G3y9+_mmxO-pV2}A-sW08 zuf>?v=OsD?N{wv0&_37Hu^w8!Mr$j!v=`RkTdRzmzk&R-jQkRNmZg0T_-7m1-I(u8 zRoZfNs4KB9?*e_!5#3k&ThPxK>N*B~{ihnf3-Fd{LlO53{$YdvJK&!+)D-~@zy1@0 z4|vOzp>EjXA2j%TfIoStORm1K)UCGo`wc$eEfX-V zH1d3C`2QaGF9E+A@M?qqCxZ{TI1TU?gTEJhgoF6(V4rZ1=Q+spq~Y;0VzXK##7IMX z8)Er(UEg&d_>aII_^huz9PQsE*U8$Sz(0mz&)lu|)wRgms)u5){GG3TGWuU=g&()Q z@(kyqiJOtj>2>`ya9=lLqdxmJO9z@)HQdF%efu9sex8SK4kSC@N8&!^QRtyo`|z z&m1lZR?>0=>u($Ss>ifl-4Sbf8}r$F(`TQz1v8L8Y@~a8)w=5@^kH3x4j+pZ;r{HL zkWAdNOWe5z>wn#$V|#N$M=5vMj$AB;vi0c@VpR~dMPf*3pC}QyZz~EiUE8|D#}dV# zIYR;j4^aMooNiTL+!yp6Ev=5*)(7bx@5s>JnCH5sJO-Y(yp88O&h~Tu#CF{tIwV@3 zAsNT9On0^%5_|JQ$4a0RvQt~|$B<{Er1{6zAO4J<2_)wJnR2D0CE^q8jfGTv?qP0fncZu8B9$sFD_3AyRN!(NlAKgRw&k=nebNgpl8=u2QM@ssB6le>L z4&c5J&lZqh^&X^3N=K`bo@c3&cpdVsOYv-B6`n0@qWeTVhgjv1zn1iT2+t^X2JkE* zfM*VYlK#>~zgrUj2)>KlBNM)xAwsdp)KFWbB@~NRhT1YJgKg33pn57G5?ulmTNKu& zf}RxQRfsPR{KtX+II17wO~0K|VeD3{7swhUT_g%>^3+qvb)3?i#6?#J6M?FX#D}<7 z4Yjz5;K9t8JJs2L;C9@n(X)yjp+s=AYzu7+?kzZYw6#nMbr<|>{W#d?9YJ{o^a6iw zsYY)6mo^vc4s_U~o{FK4_~so4prt ziN|EV7*m0k#wD8*KEVlQ<-xem)3~tH3&8obeVp5$1K&f54l|5R-+F^&Zd+48bZ?;V z{l4pDZQG$jvFTTXZ(O@782se3)zBPtH`#R(Pr8AMb@#5lVrJp5){afAK-4>XhX@AO z1@|ua$x&IG*tbh;x^CumYgfCgKRL3xW!>supH{JU_39z+w(7*V2gP>x!Rnol(skYH z`qK!ydiA>1iDz7qnBa=94<%gc-Fu#UlzPts&V#_A@iS{z)F+lUH!Nz5Cl)PSyr90h zeoh6R>AtFj=z_er9G@zDs_~hK56mOx;IkN?-N2#G<*0A)wF&liTKj{vHsO2<7~EFm z;?o76QhfU3Q-#k+d}{ESh|dgs&c|mCJ`3<^#^-W;Dpv@xvc3t@A}L&yDMn74Q9CLw z#DvDC<|RuLJgK@lbC%RMHN_V;G}SF=nAcc8M~HZJeCn7})9?}rLYrH+bOF7ZCMGOc zP(QD3LA)W!OPs!>zV4F6iy9h%F>z7doOnCTH9VEs^yWoN>f1xcIHoU!ptf;Y!;(df z3+o%3Z{N9`Cg@ zKK?Qyn9?`^N?jbEQ-9IYdGqR*06~md+SClgOsKKg@p$EmUMn)k*DZnWd{6^FyS{M_ z&7xD6C!cSv{7fY5689ZP?Lv!xk-MI~krX_JM8=Fx%w_*O`h1{N7-H%>xBy@48vHuC%U2|O;2jgKr9yO^vJl+ih5(p1i!Q}CV zH%hB*9;m6F)S<_uH9@*rjF16%QV@n*MBN~VPtIvr0v8^zux|0<`Z+w;c=^O>Ghx!j z3+fW=$MMCB7BnPQQfKXoW@uq)69OzIJr@Yt2|PH~N<&>^BbGJ?n~NIek@|#o?@VD$ zG_`(7!{Yh%OX?Ohd3K7An>t|%u;Y^`K4psd%+b?kRGM-S%IQ-l5VQD^xnEopkch zVZ%qCKBlI2Y_eTG8MRMkXI~5-$9f_ zUx|H(<}eZEtLV3XrMM@oL}La@n$M3=Zbn%R!(Ry8fA_a77yFzKDT;YGVkCytdI;p< zU3|cz`C5+gDEkAy86`-?8k9fs(c5ZeOo(FJ_-~ZsK~MA9hVoU6|NrWbbnb{16RbW+ z4)PuQr~7a9`d8o_t-yAo)cw1?{*CCr+}FPwyzuykl^uBu9bLzn-lz`?H#j$;315IT z{fdX9kTOhmmX5m%ETj_}Ohv%mN(^gpai(;vBZNR`H5FkOuT`K3U4d3K;8OO8zV&F~ zF&S=-i(><*J`Px_vS23aGB<#^(@3|Xm31dB*@1g;4NV0S@fjU>1y?6Z44lUSbe!K2 zy$Dg_y}?0_au+2`%Gw)Mw>4;3`MC1hH#fZp96s5YzAp-i!-z%6tSD z8sc_*0`gpyDb5VY^JHZ}o-Zp!<`V#Sz~^Dz4N!0gsw8oYY)7*LnX7U;peDr49U%x5 zn~lDHY)=O@+|Y?e?iXSY%CJ^^SeunCt@;&LQ;#-lSerGh%^KEbjgnc_l#cT?ht*VQ zvqn$GYc8sxqXw~w`)d<-yBb(*pFnY}it1$GKxM)CsCT=A0K-5V7+FA?3Tul)2(tKq z>mXSu&>K~ERB$b#iMk(aUaFU2Z%6HcJ1V(>BCL`p!Re)v>ySDJl)M+_ca^jGpy6a$o8g`z^qO(+H`c?XJ0B_BdDNXeZj1}pgjiXlo?;B}xX zB}bt+Ny*75PFC^)6hoD4L@`XsD^Uzr@>?iID0v%-QOO!&G;LME)IXpfw^_;^uEnL@tC1)<)zP(9*hy{0LK7 zkNIIeY>3EvP+T36ufQk18IhwveoaK)kK)>h{40vohYu4$Qf8b8zXWZ z46rF8HzS(d5Ru=7!P_G8P4sP!$YbccF(QABzMCSl0;6w<$YQAc=7@X}#kV8!eQ4sA zh|GuZ-vI%{-5QbCK-^m)C;D!SNP41idqmy!b&!fnV%Ih#} zUQ~XBR(@1Em`W@vzm1|GD(^*67?nRm(IF~#qbQ2XKcXm($`4U=jLHWwhfYzs6Gi8! z%!dlPL}dwzu2Fe6if&Q)7>e#u8Nxsmnbut?TK<+;$(+Ncab6YHXg z@vvA_UJQZ_QCSUwtE2LL%(2Lp3Q zj>wMzN@4qC`8Y-G$rxCvC_-OI{Sd(_qP~r5RJ{(D%~RbmW}(Pm3=q+bk*xrz^UxIP zb7;d+gD|pE<8ckB*|@rD8LmM!7NdsLZK#LUk8#aVUqFCNRfs_&Y5=ZLRf}tu`Z})J zYALQc>N;F=)%S4CQ$NQwU%iBDOyNyBQK0T8X%tQxLP zBkCcQ0k;gRFR-LC6up+2sXjnGqFOP-0(Bis)lGGSM!@|-n4P%CogNrYDex64o4I$y z)lm)*l=?a-18OKBt||k^pt>DgLZTubaBJL*>qyiw6VDX2?tNe&vQ`3rEY}0wr%xFp z#&JEI)d#*hp6k)9Jj`SQ*K@P}g1~eJ*9)?)hh%4Ry*TR-tUQtHUBtlMWUNW9B1r@J zUC~97pY5JPB3^|V3iTrRNcB&a{5salC^%0*b-}o~hA z`RYaB$5bh33seL$6sp@`{|@RLK#J66T#MCDaqXyX0e&Zy1#jxCp2W3_x)i4As$PQ( z-Bb=N(Opy?gebv5nGZv(LEi^!#5NL_|K!%#yWsSp%%q&PA=ubx_nW}jG?I?z87uQGqE6Ho|ob7;K8Fk z?~TSN4;qvgWc+lC@`i`PdVT~b3dOBXviR!0Q zT?}~_ej@MEt|mJ|k&JqmJc+vFNq2`W3a7p)%=4TwX&fdmJ!VX@*D9W`nHJ?FcNK-4{}?*X^^Y{U!`}jc$LPJb+xm z{>_ygcR*k^Py;&6LLe>KzlAdU0HKijR{(`IwFVW|6hTCQ^ke_l$b7mHaRi9yg?%?_ zD(r(6>mC{7LAL=z4=M`C-RSQme6Ew%6O)$!dH^WSJE%N?N|hCjkmM6Gi{+vomWxh` z3aN{Qdk-!ArObGv3_VPoX?+yIN6n1=Gq_2HKeaRH4HTXMRob1w5RZvjxI89q^<;KA zVj0VKI&fIN$;6H#13^;p7s>2d7XRxOzro@YBo%+L%-&$}S6KWt7M~!g_?OD;$1HxE z#lPL+6C@RXiOi<$h2_8B;{Vj*6C@SCNoE%#{4@TqE&fXupCGCD%`$tu#ouS~4_JJH zq~b4?*()smF^eC;R>S%vNGkp^nSGDN?*uf~e{YLVkW~ETGW%7Ff0D%?ZSe_`ioZf; z(@iPQcdEswlR=h;AgTB(W%e+Oe~HCkZt)3{ihr5Ro^SEjS^OI;K0#9Pzag`4w)l5g z{QE3EK}5(d9@NF$mKf(qN$ zV%gZp7?hfP0t}uz35>yr<)>!U4pMz;Sq3m^W?6^|v$R-0H{q5sh-FV;Fv~%x7?z(K zmPJyXiTSgXr(2fOElZ2_3&WBzh~@Nt9b}Oo|Z&)n5TRn#k;~Tu^g$ zEY=Uqo_8>f3q6@5)0fD+#g>bL3$rY_sIV*+>t4g9D>{rUA(~OH;ZiE|SdRXd!*I*N zV*Jo>7@OoEdQy7vBt|dkjfxVT*C5OI+a0LeKe;tri05+23(os;HZdKJ-{%yy{(+) z5j?joa9&%WXxpiPXU;{4y@SA+6g9p}xFYvqs6gK7_CzDYAF%IH%>Z!}On@KnXNyrO zqkJM2^#|%ZKrN?$$!J&6tQf7>&+%TJ|9;DIy9Jd#geK3$+9qwj1UBpuQgprv!mc7= z?VsFw8=R!5HH<4yWsNtLwZ5sWbN>!5c_q-x1~#;uotMZ*BcDc)=jN4DPcb*nOR>v0 zqhAMWw%iYB27H&6f^kjsDvR-$hf(ae{){KewraA z&s`u&I~F-7nnzwo82vnq6pPH#78&97iecqs#6JyCWVo(-u@wF^X(Q&;m2R{CbOCur z?oLwZdn~OO{tl(*FAbs&MN5=vUYbfY8u1m4*!P3J*f#O$I}Iz8K4NzqffZ*nk0auq z^cVnLua>kMjBWv;)&?V)CV+?5jeS3!#mDq z5TC(C#*_dwsdx#2^ubNU9NesB5TD0o>Vun1eI9qRKCJ0*i$++FB0?hJ%w_=9;}|#) zk5yBBBt{IB7EDLI!!82UV!S~A*j%cc!=07X`7SP*`alO0paY!_M{wyC!GwqQlDPJ! z1D@{#=8k#>iGg!JR)=)>bjSJXbmyznov%)JzB=9c>U8IS+v!g3a%_7PwJ(;zGjKoQ zofWtiIs@?wm>rz4xE48A z;acou;7zKIPBpl9az4kkvvV3Y;V#b4aqa57j%zpP2(H~lZYEfh2zSWfog~6P!Cp8) zFqTdpu8wmfFqHEEt^wz#xVp|RT*J;DT%%4G7UUFMi=6kF@l*^5#&mW=j31Ff2e%at zAKo~8c;oQljl+jG4jVz&R5T*P+ZL=zJG53^|7Z2|H_gLdoItA-xi!B|M2V zPnC-SLEg|3jsO{ZX-l{ZD#$5X!UIsj)LO!$P{CbiOLz(@Na9+;7oviDke2YJs32W# z39m*4X;Dk~4zdeX9!3RXw1g8-7XGz_m*NWHTf*y60e(yP+o(W*mhdVF5B!$!4*`L| zEut*EOLfLAO4en7KdmS;5LusM7SE^;Q4NUlen5Rzkxp_i01oNs1r?;*H0M&_38yhm zyvpJ=F1=#bM;~1gu|2wCMt$_r71iz071iz071iz071iz071iz071g_layq)2R}LRsIec*C@WGYC2UiXsTseGjm_~6RngDZy*t{gtNa`@oN;e#uO53U?OxN`KtRqiv;5DD1qhJH-~u7??f z^F3Up^CYg0^A4`cxfUi2I2Xcfu5&MY)w8&UoJgF!sz0t7&LmtjosNJ&%v`YoO=Publ$}^;ye#0h&uD&&RNcKT(h0^xaK%paLsk@#x>7*6xV#`8C+w| zE4UUo@8MeL9LBYSb1^s#Ip^F$+ zjKSr=JerED0BR|sqdsS7CLtEl@sxA2uG4c#r%Ko90i831>+umlJnelD^@vJ52N3aZ z!H0((A;1xIiTDd>kIDomMi52xzF4R#cnkVQd>0p)sa$Go!vJ%rz4cc>?mHGr%T(Q| zXC|1PauFsVqbf>*2`Q?x_knMgZr=ff?1Mna)$KiKXZ#hmCZ4$C0A7b1!8CXnuqwuYpL>>tqYafbu*yO2a65zS^`Ph-az?;~4j4hi5I{0afg`fV}Ex zi8?(5liuTiEC1ol6e|bMVe|kP76+Gh0BXQ8bh~(kkKzqb^g9o>yvoU^y4tko%HpNs z8Ye_b$XSM32GuGscFuCNBGej7EqY*ctrMktl1r(b5Z5{3BWP_vixeP!7RQSW#1t=L zEaB;qxluXa3168MlyOPWUus-e&1X#!E*Uv>BHSmszktqv0H2*I%-5jk6bJ4i-}Fke z|BXUU{Qwq~lboQO3Dn{SF-7aPm}WOs&!?Ck=uUOAXl|na>sXQ_ogn?d)TqX#3xyo% z^d}v}YBBaGr|?eA=X9;X0Wmu5t8uc~g+zC{4I>N|I7f6KHWk0ebjzKfeFs=>?Ty>l z)0la=)1N5sfJ*z(0DbiUt~v;Z$!QQ3dYGrtKak|h2W2)5S*eHoFLbys!Y4?PBJUB@ zJeSyw%?G3Z0c;DMEc@oM+90X@wgD3WY6$g0~ELrKL@QozaD4CHF!Bm+(*u@ar?aEVL%y0Y9_fL?Z`-jbb~fgN_^Q!2`{NtCxO%C=OL-ANRM%!3DdAQfd# z5(VEF)hP5vqfeGF=1t;`l^GK(%JZoxl?LT3nX$m4ypf7B-=Lf)Gp@HNfAdh>^C1UC zzQ6)hNYf7hiM9C@qLK)#FyN;x_yB`Rm4y9A3l76&gu5AF^3=dxrvD4u--Y@E4;pX* z(l8!m7?bU=WN(}PD%(Gi$v!aPGcEWjCOZHy1(U$%rvDP#zlOXdKnf%wS6eXc^unc- zgTMjO9#y_;`w#GFvjC!n^QZ=^6+3}@p#eUpflfFs0xf_M{kxVv+=+&z)P=yGS#U0c z>1{*;=OXje?#kdN47kjK;|!*qi?ByoaDN8xGvJvPT*cr|4S0bCk7O_%rVx`>3$9^s zsR7?&!4nx=X}}Lz@C*jiD`&*ySqomk;8_OzmIbe1@Eij^WWj3)?9K=Hc`$LCQQ3(~ zfHovz+Z*{et79t=vD?zJg$_;cskZk6W^*&ZKPEPJq4EP_^O!+gXb}U5oF1E}OfSBC zs|P7XuL$fh;O|&4J#3W#V&Sa?4WcxR8v@8agS0ybL z>Tk3C+qi$Er5|r9+im}|ypHI-2-^Lg)8OC=Q9ZJ>lL*W)=&=*^q(Zrs|lTgDrxR{iymckdP9S_|0#(+n$YPjIzs>4q8Bha zol_Bd0dfIofTnsnp+^BD^nM!dD!MhtgJ*+2CW&4{=%ohzT#H`H=#>V2NfLbwp^r4^ zS6lS{j81QVkT7>7(Q65PhCzSKqE|6Gnp+92Kn=Se*M&Du3uhZZV zxSv_ty_2ZiXYlW`_!lz%=LY;U3x0!RTNK+pnd$eb;J5+*)q>~i6>q@w%z$V5Dx5*& zRU2>;Bt~Z=*OyOc$+slE9?rXBl!yg%9(DSe)LD-FNgmXR>wwsPq+OXhTZ)ZA zPej*ov`c|Owu}$hud>Ol+c1SLQ*c?$tdGD$yY$7lYq^<+8F$%_6?0E!P(n&HYxd#B zpb8>45@jw%e~`!h8zzwdW1!0I+%BN|E5fB*<-v{zfyfDugRNg@GX*)o{tGY=NX7PY zC|(4+0+a`gXgMlf3AG9p!OT82%zlzFno#Lpt&hj7_Hi`vLxnt?{J9%^0qtSv4nB=a z4Y7O!6?&Ooh@K=H>EJyyR(HI~vYUH5XDE6;$U_XVsMQ{-yBTQYI^qm_NJuI*hlFj1M(IMeWR3+=16G9@;Sg)|#iK-}gUr)DcqY0}o(*Ntf#=Lr?>TF`H{Bwci+`xCW zq8sgGbZE0cG5EL8rbrbXg+?NUAo?0n|i967L8uS;U^f@#Omkct(i$J0!m?=x{B>uDpuK_i$!7ZrhHCRHpZv&UU zmKTvFFIn9CNfrV!0X@Id1R{g((?18rFmTV3p?(;9VjW=7%Ww%H6DnPU%4;AkLRWMx z8U=v5l`xdP367$cb`zXO@_Miph_28fshePDgAa#LdR12hb{p_Q3$7ycz>5IigTYp5 zu(J{{G6!+E*`fxpMIre!s6R5OD*($i0?=J54_3}x@pHw@^)(F+tQ2@gABY>|0~VS2 zy5$Dh9clUlHKx)Lp2b`>;zWZOAU7ZZhui*ZX;gQCrQc{OU$gys0$UCJLJf9k0*@Hf zH5Qc?u?Re2z~8Z8&EZ+Y;ejNFO8N~B66R@(+QPy-X25|Rrt)XouP5^?z@&J{Js*mt zJ514y(ocGDy%`O>yb_0N3BZ^^cuPb$VL?-!)!{dfpCP%-2u*1a9ty7`vAs`o)Dj zs&{!Gmx8bxC<0j~on!<9(H($^%*HYpKsN%Sa7HPKc#yI40wgzM=lii^9(IpD;2P)& ztPYu|-Uza;0eWa8KLj5kkKrn15k_!i56FeDec~FBV{mol3|xb<9@mguhHF@^$2CLV z1y-5zo4qND?F4O9uERA;mgAZ&-z~@1BnJT}SDpb#o;)3+j_1BWPBbuqd zm&A?SOu8>Q!{tUnrv7FUH;Oa$SChEWMHK1-9ultuJ>w(2pY6_9ke1JPB%kj{KHrgi zz9ad3NAmfOy5A1kmCF8ib&;o z*%PpAzM%^Fdk883e|HwPa; zp+`X7N9&HB0boSjsf4hase<1j6z5?KhfZDyputMXZ^3p@01+ zw-Srsg&5>rr))of^v%yWWZ37h?YE$reJv#3=R`<-YSUd;xsBpaPUI0FQy$TyPQ2$d zV|2A?+f^(C4gaAY-dW=l$-z*N)CmvJ0`&two)5{u6{2Joi2Z&_AMlZ6yT_(gXpDrlN$!`Lv7ir=zm>d-G zj8;S@k^paZ&$Z8#wwT-xd*N&CPH->k_D^oj{dFn6k?y3qM|3^(eq}n8tQ(}a2(NTX z$Y};(hRg?gz8_fnU*p~bV7$^HMN(v#y03RT07p8vzdy@XcHxI&?&7Uy)1F~cX zfY)QBU^b`@$dk6B{R3+Efg}fHEw!ISJ4EkfACx@XKcbBV`-$WQOTQ=JE*6Jmgm5L& zs!$eav-B(mQu_xOD_6JAp!Q!Oa)E9yruIto7wI;=Q957?^dmcIK&Tas5?!YQ#sCJ< zK_K@#5cxHkZor>m@CVYNmu6r}wCW#_yz1`;CtmdrNM7|XQkz!&1Cm!gy}1ELdr0z% zi{s9NSN+41Bj#kZS&rd|`|N>NWFjPDjI>q10sZqd*thD5N@R_|83Ouw29IFAVMhluP!Fy8 zW&`q8Jsqh}miBjgmj{uZguYs*P(_-hPz8hn7rlrmc{m;~*h0e=dAVrAKGQVsG zC_ACmsX=^$*LZJgEEbpZ5+6l)w8Yy8kA7|{LJRyRYOSXhE$>^Xbsx28ao>4ge8n{{P>8Vau;yp9p4rYVrlMFL64pY>m zp^&c*O3W9sCXcrBeHe;&9n!t^zrii=^6?nIKQQE0$~A`E3{bEOHs9}}LQfb@qP;C5 zdY557^yzJ13Ku;7h-{W%(AKB6mFha@#bNT#Odn_f3VB*bko0gt(<4~cab!mVXH*Cc4tikR1&o)(jrt>b zvR8I2qPlnPKC>uK=3*a-8u7x2kG)x{>>LP8V&p(Fk!wa`;mSHri0P5?%t$^k;)fr1 zLM%__2dj%OLlli^5x`Ee3S8*I6@y|91W;Bffv$28)6D82zviA3A%Wd0gB7F-6q1Gm zO(fNu;qQ!S2ANr;*&dSgkxi3|R0$O(fJ?e20ivnOhqq|OLf82dV#8prY|t2wxk0E=BJv_^-LJECS*}avsPa;@dBF5G&!?KE2D=ZAbu8SCIs$~ItU;| zMKaQZXN74pYH^w_khi=3Ng%k`c8`6IRYm8dOkk9mE>xG4Zg`zv;;x#XW1YC0_NMMo zCnV!}8+FDZf6@flMXimXakf!UDJQVMmh^x}4ul0tt*~0Jm~t=61cFAjA@LPqYilws zlwU?((}jXXIk_9|A=sbL)0g~rWXHyAT9^HfUl#q7%VGdqFhXlWFHtf@MNCE_8)O6! zI0Zol8aHAeK@T1^x3@+Hc|ag0HHbi5fwivLm353W%OQTygqQ|ad7crWRe~r9m{B+^iJ6yux}cZT^Fs2yc^D$tJOTdH=2DjTl?$&ljOl@PQGI;59sn5lvYN`6-~ z>pC;JY9p!3FUl5zfxp~3v|iw?%-rMG8|yO9hM;`EQV^QBY2$%ec%(pDcqWM0b*DYL z$g?1vQAb4F38x5x)n+}nQ*zBv@J?Es4Ahx~S}i+LtnXq4@m&146;)vZBn4F>$LnW$ zoy6@RAusMze-FLQ^z=L?Ii(Um%owFK0{%0Lh_V;<0NQh~=ceeBd`a&>WqJYh2$;2< zL1g7@OTF0DgxChzBf!rQ(j!1$yHY)~^|Q0r5UkMt?EKm=aMd1?1{gr!eyT|6@yDQn z6d(z&(o-z823hs+HsGd*HT>+7jz^y6hj?+L%92uC>oJH(<2u5gbbQb_ITmlhT*ywylDv_4#Oo}vSvEcXIDV$GYJ3&X2li{2g;!H0I@Ujko9UH+^GJ*wc1Vggn z+m}cn#I&@HAHgjR;!i+QLz&4vC~L#sL5TzG4VJ*<7v1bIJ+2E80Rm+*V)V#L4Z(3* zkUi|62+=eGU_B>@78#@Dyu`0dzYUO zQu>+|31_l9Hw8^vKbDUhS+6y5GX zL+qwsWw&ko+zL`I__~mJA{RFq`8g`Pb2e@}kn{tFn~qeNQ-L*c8l{qSllX5Db^{6j z(UX`$$oL;sv$Lqcu7HC!s&&VXgLr_?75LnPk0ZM~IU(H7AsEqR2R`xzT%14PqW(me zgLJ{$`mRI2HmgpRu2O*v;JMMDx|}Nn2n!ihDkA`RD5wO(sRZ0rLFG~p`V069Ab!$| zAWjCp2jFH}3aKQ4@n69~;CpbT`Amld?as%g6Tuny&|K`i^qe}lvOtZn+(|1w#Bgojvd4sHed?d(eys`rYkgJe0(Eu6>M$wRHcH&IVf9Z#_&VNc4aa>ya5|L8C{@ zSSlR26q^R20dk9gl_pP;3JzF5PC8^WFD2Dp~Cn^lK0n(O52(YP?SuHc8Ed8(lK zU)O_~En(sCYfnDyR6;v%BT}ks99rvkjf^K0tpQvSt+A-f@x~l;pxFVG4Ea23^?g10 zEUg3%s6XUIp!vX;2GB;JzGv}tgr&fHZz^5|ZyR@6JR=nFevpbckn!%ccxI^p@19h= z!HnmN`f4rH7<2h0e!xfR&HQBbydFat*ZWvwtx4sQoX`F3bNQ|15@hur)L5;lT#~cB zuYE4Rvt0CqKG9gKQ@JE{@uT*+?6F)J(;XO8|ImoMIYTpK;cWOkl>@aZY}@o=jc_uc z)u^v-zV-bac!^02Bv;z+A@(Uf#n!Z&>ai4W{SL=c?Pi|Q;I8lJ zRp|5A08IzcbjxuRDw=e83U#kAEl_{JDj?9S?DhbC-$xC%evKyz_>Dfel|#L6gs^&v z>O6^7k5;nDK-?^g5jN|KV>GD)yM<=Lv6&!V{6gIQ2F=NfQWPBt!;7*MC}9TxNrN+O zG~CM?)G^NEHq-1{CdBFeC935)Zi&v~P<67^+;F48s3}?dAa!#sMkv|C<5TLZwQg~> z+^T{(`z1;ZbCet3$zt;q|HxA$|GC=$)ib_XYp;by%Yy31da`z0wl>LVb1xtSc7wGoNJM;)8HN(jk+vK0Ptky5z(UpBQU>66?^3u{I>JOpw#=YMoun zb#)TU1l0DhzL~@_!Mi;y?b19)6AjzLx;Dwnzc$kJbzKtcz=`Mhtt8g|6JuSU#QN~W zSR0dAe?KwSrX<=vMzzU@38%pN`yeU)<|{eo!)XrKt&Kv4qrTwGTdzM) zA}so&TA~mJp+M@z=}a3ARFFA3$}b_J*AY!%-P6USRxk?$>9qK5BRV28>8uZBVWhLa zv(DJ*yj*NwdvZc^H*4SYX^wBeF|)VYp-{JJu2yG%_u*)rZDa&?{sUK7oo&|HpQGMS z!B;mrw5edMzox<=6qIIynxkIy^;#XPA85g0Chnyt1NxzY(pw|A{ zAU!YrH+m)%r{Pe8i>+I<5JbO9uvxsoVJYBypupldk4bDM1y|E+(|ZVo1t|Hkl$U$5 zhY&s8kkEfUW4)N~_|IksgB~Vu1;0nm11J?|OxCi|Lq$;WWZVE!7%+4}HJi0}fk(wN z()dZL*~~JadRVFpnQFF2)vdj;E;LkeOI4R(eE?$WV2& zREe~)=x2J&oq2Lz2}9M@Qq4<5bVaa|~4%OI6QQNgZ}XLjqw8uw3nF*+3J9LgY{ zfu&lQM#XAMA8VnZVnJx_G^SDc^53M7H5w`wgs2v!QRTEJ;UYuj*=unc75#@)$NBSO zL&bv7SeK?zWwl3jsi9&)h-yh1mA(N@v$B3>6HqJ&Q8lGeMcNyy$&AH<5LGi%o$vVu zKOjrje6yiqL5ON;8dXMnV=XmQEC^98OQQ<6N43mQu^>dXJdMitj4x^B<%Ws{A*vN= zRQkb6nhsYODi(yOR;E$;pA0drix|GrP_ZB&u(|CT9OS6GIXAGo4!Jq*tC9yLwD}D} zo1#CZ5LA|!`~E?gs)YlOHf%%$x+aNDjpGL!BY!@tSnuif{{ z^T%jzSn2iZJthMGU$V+6t0uXpc{<=9-;@8r1pEV-r&};1D-h~9Jvnt)ucx|98%d8k zF*zz{1<3xiLmZd=CC}2l>@G&c(5Oqd2qXk&c~k*PNL#}mQ}RQx|HKeb&_uSxBx3-j z^V0u7FQnGv^|78;ub!so5u_|a{)8vQIqACN@PL6paX5)`wRu(v^M1-2V8RXm0_xo_ zV5~!0dEOn8r&pyOvYtxX#hChDO4(=`DG82~`9h5_GSlNUrJCb^t?^nGCFdQv#3hh!@B@%mf<8KojHhqGX13YN_%Un&o zb|)ttRi|fPa{a;O&L$rv>ju>bc2|fop1f0!?`Ggkjh1`}k(Z>(=hiUm-1MN5gqJwx z0+9*KHHd4tbrkB4JYW3?Gc_cV?J$(KvKNcJ$DEnk`B-T{g=98fmZGlqpO7!Pbt+#9 zp(%U`#V8-L6W?x-cLwp@k>m^A+{sR)C4q`?lJ+V`W_ILz*qQ`RgYs$#syDABg@DVp z6fWu=3%@lLzEArRPV}@+;Ih604L4@MUW_CFY2LpXyR+>U?DfEmG~bjC-d_zr>_|Ke z{qid`K@Wd^M4H%*nVB64EYN2R(ubb$qX~y_Q+B7Y%HfeHKGmmK*A3eT5rgZXbQy9!LMg+z`>7@etojm+Ug5E6Y zM*W2QE@vG^4?b<^omgMpN=Qw%r(1UYC?sv(l|l2+L_fx(RaNU*TWf-%5y1^F_X68b z0J1521!0t#lMNa!sBYDP!v;oR>IBd)!Iy#1$-wLEe?jcY7)Q&4E3xFfLqp)#oAego z8x!fr2TTkcauILEhfIoPf-MCb=168I@5BtOhA}31o9!i)x87TD?xz=seQfFGYi9O9 z(Bqyw%F8m^OMe7oR2PF40dJ5yp7}6GIXHd+({mK zkqyaqcGkSE$cElp{{IhyRO-`*-dnWqr)VV&PiOR=U!8!1_sRqOO7FR;6vUKH&#^P0 zpDfYAuCsqh5oQXOA)T(Xv2i<=4DtwLy%97fxxTl)lH;F{&qzyeGZ9D{Iss>2{_sEH z{AK3|_a`0VHdQZ7TA(TZetdlHXmMddoojp4CmHJh78l(3Y8mM57nT9SQ8^6xAC<>( z_4ZrZQV64yN$4OM1Hh;p;N#~21kQPMOa`gZmnVbLGcnQR%WCtun5eaj{8!J+^U$#X zklyL`o67%R+SsD>_A(Yx+n-odo3pSJ0kP~zX!=TNizFv04xB-=6Sw8;a_Qklo6 zo*Vn`2Q@;{jnOvt^StJ6mw0Gb_)=aI=Q%2MA(v7g5`o3PY@1QN_eoz$j%wcN)PBJa zB)xlGLsbS}+lF_k)zv{yR&8u(rI% zSK>i3EpK}4NXwZpi@2`0ANC?z1ktdU_( z(8EvxwPAJL;aQ#D>LRX$L2?pztZt3YYtqWVnY({1O5HIQ+&+W%4ErR{d*dqo)*$)F zfC88H7Ji0Gv=d?5;d?!q} z_}D#;elkJ5i9Q=6y%DWDN$qE_712z=Oz7t#@NhFpGsQ-r4iJGt2>jNB4C`Um_c1T< zFba!%?KNDl z%MP#{txKDL!CvPxz_TF7WJ2+KhK^m{EgEpHVk(PcEY%a1LIf3fGcjo?Z~fBPm=2GP z5_Pg}4@>il|BQxyfkZvWG+HO#E#)w*9?vS26mWw^?nVvcyNqS()>D$VVn#pE9m!Z| zWqcA$tk)J=5A*1!YA%i~D@DD6sO_lA;r64NZSb zv%^P&9iu&8r-z#K8$#;&B(Cw2a+42$j&7L3CM&?!r8jf$ZSOqev-Zrc_A-{7ac zsrIGCZyv>B#ga2uK?wR$C-r{15dLuERs~H2SC}qE|0=Y>4a4_Gi=bU7_<0+E_V!Fw z#sMdUSE^`iOyCL@%bP$_aQ;392|g{O3aG~mZo>UF7Wj5#jHTa40cV}_dI5*NvP5(3X37YH zu#Xbk<|XWGpwy}?weDdlOFhcYK1%E}r0}4bF`bgwyQTs& z>eDlV>^hm~pk`|81XVw(U@&rtQPzj=_q|W5?Kvvxm$Y6&W-RhgYG+|gl-hILQ!x#K zVx{U=N^BJJ4EZmjke8*g*u=(*A8bs5WSD`x7~$c15U|ne@LT~99ZJ1NTJ%hS*p>NW zD!Ui~kC%f^`Kc$*n$0GL9u36M?*?U=ce$Ve9bl5@x5NRb()xX#u*o5S#{npLXAOuR zEp6ZMo({a4v{7=uvXZ67Cd!;Rp6&P1AOq6(Zj?EZP~M21z5!wDo2!n?pi5>>L`Rtu zm6SPANtqLslsW0Pe$xTmGyrByW=_DcLR031rWuWeN*Uh|(IP`qZDji-gEA)?)05m! zqq%!tp%>#ceUt3wneVwxGdKNFlUYf;r$-#8nedU8y-?Vi%?PPsi%9(5u1EEDIe3is zn1roNRk_BhI%De?{zidsJ3vr^%#YdT%xe!81=E4Avva^p;$9b)2Bbz^S z0ilMPr9_zvF>7b*wIWmILMWI_KdRu9lDT}(_Y6lXc2oPNQN z^}}~ehMRxH<9q#>{<_9I0WG9~?<|9JkSA4~$djC2@So-QXm7e7HOUuv6a8wbDkb|k zu#&@9Yg<2r>Kccy^j|wjIRzg2pN#w{QSk*M(+{Akrntf}|ZMnDSsV*|}*_xd-yK0`Ud8Xz! zHP6-Tu6e%Zw>7`3*;DgE%^Nj;ta-EMEj>f6M;e2^r?42~K^HYQ1g2iCrfzWB+*NY1 z*2nK_{tFcN!+ZTKll>oa$xa)biL2x+3~6jd{Otc6Mf0XMy+r>i&mlfT>-vU3o2y>b zqW-!D156~PJCCFeNIE8Z`)Avw$N(wy!5`KXpyy( zRwtFRIe25}rtlW5ljK-6FV?(V^UC;_K+asqN698H*1SX=+BWgy_|h6m9oy=Ug~Nr` z9mztc!E;bQKRZ5tm7GJSJZ{}pq5m%UqWzyWpquka(`3ob!Bz6ckh80w zXCNd4we2rj+qV5NZCanyZ+|2lI-E%3ARf#j{xf{*lkn`H<&)mFzgAAZ>&N$ew|eLJ zXQ9Fq(OZ6`t8{wkmu>p*Xw&=2CyAH+O?k+I6?a2mmAqQxO>3aH~wymBmf4`owGC0`N z{Gc9B&q>@9>Y3-5wg&&N=y~?+r45bE!)7;&$+c6aojqjm?3tsd&6quQ;*@h{kC`%g z#$g5Z>3ycwRKTCE1xUZ8|8&YEvmLT zDA#F*`A2k*XsTc5oj;YI)*_KpQ)kA;Y*|!%r`BC-S&AxM(PTl@<{G8YiKW>_i*w=B z#O&1Q%*p9eel9mWA&RGqh3WB`5PzyFoEaSxlBFC^~1 z3|qb_&a=W$!U{v$3bKb6rY8!=3ng|~)20*j?fA9r68zeN3A(mpg08KZplh2Z=-RRg zy0&kEuB`0qBx!7I7VXt^ymC)r>Asi^a|t6Jn~2z_wbBZgYTXdyXV|VSVOZ(JO?qOs zG^N_cR6)C!!mMgp@e1U$2@~{f3}ZS~g5Ma1?R}e~wy}ljUx_TYv4!bt3I2pFFuugR zQ4Y!^$@Q5Y6xB97mTd$v%|9*(JAoNu4y%SaJ2g8pHa4fbfx(oH4W^pB!IVA?rki(u z`qb$72|KGAotzofLz$dTv1TPb!HTyD&cFtH5wnTbr6y8q?V4_1F^y&6jM@-=EE6gQ^J>7tu+I5{BA>o-5j#(SZB}uqt)kcaDzgs$$AC+~~ z+E$|*Cupkq$jQT*@xt`H!gbf3nw>i(&XpT$HAQx%(z}}m0IM1h6*Az_xKc{@6)M4%PjJY>2Y+t7%y1+j;WEk(TRedOetyOiA!_SC*rBmH)2H;CkxZ}DmJBr!gzjq zG+&4`ndR1sbV!~0!?j2TQ~9Z|FkK2UB~!B|Gx5XhQua$sGskA;jG&2bq?qDl-7Mnd zZJf(t*ICdxY%fugcmvcn{{5J(Y3D+xzPi}NY}{}8rtWmcmFC)Nx7O|`u~k=%I{T?v zxMQ;<@>MP6QWO5e!zjXdEl$KsX6!^`P`Gx@7T05?#a&NQ{H`M@-1Q@cyKba#*NYVH zI+4PiQrP)96Ouhi^3!w7H2 zBa6o>YsMcNAFHf4p_9UT(>aC5D(g+P#o3Y3e0Y4M=$51_ zvRWLV2}F`%X)t@A?g@55Qk*D^m!bwY@nDft0mTYnj0}529-JVu8vXuh*dk0gIDYtYxVnw>?`s#9h0qcJLxo0Vm zS6)uFzbK^+&tB#pPDiNe5hg(A6G?T`Esc$oMwH|7a?z1;nHQcZjA9?mMI*&H0=ORieQ{3(F#~Cvs(^W^>+ETOI4A(lfcDT~4CgwBQSf_#` zE6!rRLT9c@njalM8JAOUnJ5nQW{y;kYm>z9Cj=07W6IE}(H3~{}V7-F# zvQ?vjN6=}ao&@pmT&C4z-9m7Bynr_v~*6Rybhelz=&lPS5@`ZYHeL+hfaj$ zpK9j{Rt8hBGQNU`B6-VR)OaXdY&Tc1U*6I4FehDBFN=|w%T!x}T(g>@iP$oTsJ5Wf zsGg${)oV1OdW=TY$fJ>DKZ&c&Oa@GsG5Z-#bhF0y6-&LNVfr0Ow*uo^+>3)obE#>S z<&o=^+A1tPSIUQ_xslm$3KRNpbYybUcIEv1XnwX-n3={qjj?=DEiNQ4@$mRue&pWS z87zPVvt6mRDpn%7?;93I!;vC3z6&Fz!YS_Q4~b53Q47CC)#Dm>1OgJS6R1A4`}z3N`ELryi5z7VEx zDSOtyJ}8h-5aUhaLh83`n7=({g7MisdTWQv`MlRR@${{ zz1z_*eX@t4h2`3M*txK>&}?)f#iBjDpH2O07m|j72--OsDZNT~;J7Xm{HE4yf8NZ) z^%eO7ZK1gyi>x%;wYYwhW{PtpjFhm^a6HMOIN@-dpuCQ;Dw>pQEX8nSbTnTq%2w8G zms@gO5Yadqftzr-YSTdHo9(JtF0WP_Xt^!CE3<~yJNfLj#~oF#c1S(xT9)T3Z2Ec8 zsW)miN7Wn9;+*7DMc)&|TPGS{qM68UFIR%Sy1o~iec!Y_9D|qEa|{vhRIh~PPN!L^ zV;X&qiz)Wya<$ry==yM8a8HFVhyA{GgeJfexPv9IadXE{7VpjHXY+I6XlYKrP$m>f zc+`0y{Z4tUTk(`Ay~oiw;h2E5+|ya$+P`DHl+B_e_}&+9oo$rYF3yMNunmN@LPU~d zy<1VYy;chs%MCa;XWBwon4HX?z^fNWn=}nIVb;0)>?HOfFhZ4j+0~EkVOT%9hh_cf zp>6wt^yN<0lXkF72pb*odW=9(h8_Y(8FIq4gZv!cQ(+BjbjV3{@GWs$=YNbZ33m!vk^aO z8>7wC_9aW9Gv#Xx|E-#FG|@IJ_3zS^3PRbc(S%3C3i@14zXQPl3#T0K_0`(K+7jkI za>$T_j)83>DVathfBfNk6@J`+?bM~FoB?4o)e0dEHyFd?rjJ`bZusF?`a?1Na11{Z z!;i*rw@a+NCVzK>jp9nJ4h`{z0h+@ab{pu@<l{3MP==GLleK@`oticZV?d}=m~)Ig@i zmQc-;_4vrivBAMOA?p!P8}6jJ`pP7}0wiHL&T%MCI2^X_c^3UaSde@b=agY5s66P*1VrK z>^9e0SjJSiP2egw9<7`-a?ZIgUei6;R;!P77);RxjGn zON-p|Q?sShNv`PBCX3~XZ1=8xV(TPiJ>yB$FY~0DYw@3;Mf*tw8xL~N!B-O+RHeKs z78_0ZyFEd-%e`1vu>3XP+R6f7s5w2(oT4HZo9&fyH_A+}5r#{vYn8B9s+v-x8sj209D`eYXYMxQ>~P- z{No!{SNSP;EHfrKs?5;k4|(WeI`tK`m5X;A_0=UvvuL&5Z8sZU4P?(4jMO|Ec69|R z_#NgzQ5;-uuG9|VjYR#RHsit0`Gd9f@=B{wJ6Nr)G%-V{uRatuF#7Rllq1D`xq+c$ ze!_OWQ#p447CO*vHXBf5ef8i{rE;)QUtplN)elOpgX(QpwBTOp>CdVMko*9)4Ln-71%9TlE(?gvbBN%bc^;1!CD%K6^3J@A#|=qJ zB(yoaA3&M-%}0h0e%#@hiShG}3?KY%!$yg}Mk;Mk;GfayB!%)UT~{kSt@cuWxZ z3?7dYcoC162)u-3*KngYKp!NDs3J9hK1$M?AiXEKUIr>9Agao{4ifLon|QoM;B7qK zA@D99H*kwgn(VkUyYbjV;5Iz&ByblVgSb%#$j>{&zx2dAQ^li!TVz__3=wc=#_*{^ z1Gmy5KU~T1!7oxWeDKqg3?KaFB*ONB8y9y!v{Zn$ne207kbD- z@SgrF=x7j*4+iw0iUSt*Xr9wDYD2^UD z)ys=wT&bQf<5ySwor&O2y9X-bV6)ZLK2iF=m`|&(RvK&7+QF4_Wx2ju`$pOEV-_(m za8Uh+BZucasIwCI0qA0Rt$}x=7h1K>z_JKC-6~eBfVAs(ko=v#hhh^1SUl%WbFE#e znJS0a31-DU$cWNAMUa;E?hr?sWf4-*Qz1Zv$j8>Hd9vKzo$PF^+jN zitzTFN;VOYOQ7_=TepyPbuKOhsM;%t~rp$w^+F)hhs%K15(( z9c5f`>I?^4c|jcpOn*Lg=BmZKhzL6@rLWZe9_W$JS~7Ax>*aXXF+ryeN&T2j0VA61 zQNN1h*FKi$6 z2ir%zxP8=DwvYPi_ECSxsEg=zPvB0$D_lnSBw@73d4y+iYr9qfE=yFlE`nU31ee4& ziLKkO21Hu8nUs-)b&KlB+ts|}TgROjYhOlD@>;_5qe_k3KjFHq#c?)h?D}(4- zk-m#@tb|4A=nSH3M5f(jq`Vd(qd~OrWZFYUie?cq8pOz{U6|*o`!1!N?~J*2=l*4c zb6%*Hbx&Si|L0^UA)B7@*S>_0aS^Jn9c>SOtl{{-`C;+&9sO_hJ$=WApB7M}NsyWU zT>A9q(jN%F-{(K`xioN>VgIjiohq+wGyQ-(F7wp9gJYvbY?zmg)CiL9-yx@28c+9s z%17z8w&&dCDGT{T(NFnktVrRPk?!B{(P)uzPCwIhzlfq6KzY8Jok(+${U^B5A{e~O zHMgA25W1lv7`$gA2nnpO*@h5ssjd6MQ;3myot1gL19S&k7enU8)+O_2VoWm(`>hvo zGC)e)1CPnnWbc2hkufxryua;eCL5Pj1gr_OO!udx1JXu`^uPsYE1$mep37pd>%Tic zLDaFPPFoA7PCIhnE&E&=IeKAp1_E(8p?ZK9R*96)Y7NqZCE=F=^o!ht6r z`vf#V?7F6;NfCiejGY5yn?|sY;C#SbR z?_aSZ4+IRjZMEaE`GCCS11y3k49|~wJSC3@BD@HmmvN)M3}lvPJ@&I6J6h~zY+pT! zTRV?y0AH5q9xfOOz9P|YB}(vBiGGME!Pg}EZA5Q@+ICTOs zb_F||5c4_$?#9uVy#n&{+$$*ab4Wfp&BIJ zpJp8C{Cm?f&LHXjF~-q(9>ooz7~F$fNlR!9kIZKRzBIU3fqZT7G{O1cB?R2Y2WZ{`u)nV(ISbMj zGOr<|ErWeX!;BR+28ekp%)FIQn0Ym!F!O#wVpw${(StJKD3#O#xQ?4+gQ-j?g4aO0 zPBNq-+(TGKzlpnVeaF>+0AF07#titzf^=vF&~{wRRHimMP)T-y%vT%IrUdwUW7h@I zzqNJyy10XbC@Fl0VyJ0SAt_qI>$ubXbix0CqU|(@?+N%Gf$fkXUnSh~N%50n??;2H zfqAi@DH-HNreWNfhs7fT11@3tq5=5DkAZ>nqI)>gITJ8=o8akyK^m-}GGMW69G3Zh z$@~VH=K}`hLhHN#s^f>whY`bEDK5hX?o=iC41&iAJ`gZ?5_jLFOIHH|&q(AENcJ3{ zmvDoN!K(z%1`MP)y_X689B3JuQ>rN(^ z4;Zirb{>{+MUfeNL6TF!HH6m{nc2%sp#En7KQGB5;49ZEZph4Fo#1@%C<4}oUCnT? z6*WM+Ncw5V0;z11i1m?eBfKQ875PosU$T?Vm(Ner`JV|G@P)JNo+{=k#@rt;&@uF& zO!EcCoDCT07`n|TObl`+{332vk0IGuI_cLmo#9)!(PMTYdLLOH2=*h8+~^@MYYyFc z$b3U4P*JY~mYs*d8;l482Fy)$9%evHcb?aPUdOFF55aeE%lQLBa&FssJl}_UOn|+? zq-O#Ke4Wqgl@L}5hXI3S+cjlOzxo6wXWrH4nuVnH3Cu~nOP=i=!LdH{u0CvS`&XYlLD5nA1lFwH)hFZ; zqL$JpxZHMEAC}gt#)_5}n_E$dQnExS+T2{riFY_U8_TZ~yNB?%SVRS-$;qzR+yV{)PUAa|Cszba1D|EO5SA zYXLXuiK`3iQ^4?RN3LKv#j{9!lhM%~)QsN3=zB%F?(8Lor@${FJ$KYRPeqR2J z=c_dnPrMYvpNZl8YQpD#(oUdL;*YItH`kY3@7-Kqnzq95=KAvH`tpOVFJFT{;oHbt zFb6dLjkvl}@<&a#VS!7ZPJj6?;OA>Y-(M0p{6E3`z|SnmAHX+q3->PICV%4UQvRKL zx;}n6hI5nJkLQlQk8`Kr-~-kdEB{D;%l8H*{z#8_{zWkpZy<)8&;Ahj&3-X!Fdf6o zF}xkae;POju?^<88#_C{0G#!E2Ka}dq2FY@zILO$uL9?IF$fyt`O+WP1|LlSb>JB5 zG@ce2Z1(Ke8xTzY5w-ba`Ln<|zC4cf%x@a_71WpZ_|T4&xG#p6fm5Haqdk!S9B__D z529S+p9PL%eIr?y)fbdEnPEHkUO17r<#>4q=S{XW;Jv=EdFVe+PaI^3YC{ zho3dlzVpNp|DBAm<@L$$8sGa~Q+`^EBA(-^;XeudI%Hqh>DPce{p=7wYR6ht{$<2- zy)&u#p8}3+gAeNai@@1__5i1RF93fMm8lYke6Irc?ePY1?$;Q3{vNowsIPwo&h{qb zk>7tr((e@f%bgt4*uR+n4=8yzm(5z!2L#`^N|%Szzg_5~{%(^PA{OY-Q+g5r^h za>|QPHx4VnXOv0}vUE%J`8&&N-6}p-H=MrxB%l5y6!zkA3G0JAK}$ke3*{^{WT9aT z9kS423mvi0Q41ZjP}VENm6CPEWL-HpJk<*1O2UDumg>sNy25Zcs$sx^q!x6g;Sf?w zb*15aM@x03;crP;sw)i#g<7gB4F`T2DvtWp;KQ{x&+(CZZI=zyX&GqALR|;hjJh_T zt`)jtV>>psY-wdjvnk59mStPXvaMs;R^NEtg$T_C?`qrEwqCVbr z2YK-HwF=K0jFO^s8N(7Ad337BKC-6oGLNf7PHGXv6Zw%W}WMAo19HU&TNZ6ehoynk43xAA9w zlpFU5I6tG!5rhLogvTQoo~1@}q1*`dn6lp*hZVrtDe}*QIT?BOtUNr|v!OW$3x|T5 zqrA*$VTYS)ryDNd@7!3wh%v=?n{N2&v~f6sE(_O2%fQ&Fc>7>v5Ea z5mD7drf^4U~0W*=!aVND)23*pr%W!f5Sf2Iq_nT?rC7-`r9WKm)O2e@|23kbVy6)qd7TU+mn zfp$|CF`%BDEe%i}feyT~!qYzob&1D;+02;+b+qv~1yjYVIp{a?>=fw&ceC0hQi74c|c!nB4GjQHQy=y$s(lD3p&k=jPkx z_k)@T*~p80?p?LZV-&F{v!&U@C&2du20_Sjc%8&;VB#(CU18<*WvX5U?(^M}72=~m zVz^u!3-tLuju+W8?#BW)?vWVZ!;cE_OB2cbem2Ip=P$6%#Cp+OMt{Gc`HWufdL4fY z+p92T;{9tnL?Ew^_hJ2|jYEQw{Yx4)`F($o5?}H3V)FYVFAdZG)2%6Sm>b3VGWjv| zl8e1}q(lopLf|$>9g(cZZrog2dE$tl`$S4S?XAB|e%xE}`G)Z$r@sWvT!!yI0VMW# A6#xJL literal 0 HcmV?d00001 diff --git a/neorv32/sw/example/hardware_info/neorv32_exe.bin b/neorv32/sw/example/hardware_info/neorv32_exe.bin new file mode 100644 index 0000000000000000000000000000000000000000..566b6db6490a4f1b7f29ddc0c916c73488adee15 GIT binary patch literal 7728 zcmaJ`4RBl4mA>!kJ-t|@SnzDj?y~TR=xYZGBwm8rodvSu`ObP zyx)EADK;sCXKwDj=YIE`bI(2J+@qJ=tA`uxw-PdXWBKnDFL5YVmt%wwq9$CTGVFDf z+)ffA)1Mn*NJ8y*KOwSMLlaHt(L@eCnz#-(Yk{v;>4%KJn?@ki=>u(a%37*)b3&41YXs#!b`RQDV0IVP^ zs%dvx-jl_;Kt!PdYaJ^kMc~cVwT_@y2T!-idykQ%#F5#AMhE7$6_Ljp+8iMT^xTS- zuhsXR_UilUyq~vEg?;2fqVZCQ*BZebHd?Vs{lvE6C{gTEtz6Hsirre9EaHf|Pzzn` zM6njtikySUHy;DWim^^J*%^|wyD1N%5Xm7j%!;k-2I%EFG-oB(tAdrt7M^vsL5^A1 zS>*YXb5_@v=o#k7aCnY**Ex%^&hx%GYvM0ef#AFoL`=aZ48wHe+)63ABF1^EZ05~Q zfnh|U#Hv_@&5E@ItsKp{`BFLAe5usBSt*k?Un+BK&SYmvX7OE8v;P9gq)(BWEbY&r z%@T1cO~k%zp}LeU%nV(?Twv(DMwlYv8)>G7f0uklF5x-KU(E{h%CN9m73Npa-v_Qd7LRmX}2FN;%o2cBd(iP2jspyU!^nk&g?owXliG z7J2ZQqI{-v#`z_A9nVs{I-4eRudavlq%!P$`BJ&#B;_MND-eudmY)+exvP9ebF1Ty z9Blgu?uxV7cX752KF%gR%Gs*>SzFcftZn1ZSX;#eYb!g)+U)PJwhb3qo5YxG)i*G< zstLxn@f>5Tc!#l-U1Svaj4GTY^80DlS%Nz*vBQ_soz%|B6I2I*X!MbCN)N21pF$j{ zXQoy%!UERDnVCb`EAZ(Gc`rxco9pR1N#2PVU>KgpmCpAJm6zAm1bKN~F}}?hr!nrx z>x%JcHhF>>#5#I6`q8E2iGDgqKSAe~A0qb;*euB;~VSNa5^ltQ{f5bYS zqo1Jji&#%%ei6FnY!$aNVgXNRJli%Ng%3}LPT4W8LVhW`i-G?jpNunz`>&~kb<=9W z_)4bz2<|S6xLhEnNyHC#dS#ASch3~nuE2hb$qt*?VUtSOq*Aj9tHlS+HTHhsdB{1* z>v?CmS>zLfjNFA-U?FE0_UrkCKg-j65+PnM;z-jGIj1K=&U*Fylj@=QS33vv)O5xf z=$WYQ(8(_OgYx@7pi6S-RcXoNV+;r}Dl{~L~6>*peJkbL<32H8KtiD|_8 zQ|E70(|w>VNX}9k@17)NY!g!)nVawUK;-LsF>lcFEl+8;y-)Lu{N0815t1;eXM`1M zGf|i)GvCZ!(Rri>=E&Kt;^G3u&+0tRKBSadYcandzrxX+&+2FJAop6`I%e3VW2R?x zK9h%Wz6X_32Vy93lW}IM&jZQwL{>g4+^l9xh&&|RWZ(yZ-(twSZ&l~%-)TAzE@-}M z*g1-Oxz{+~y{p*U-ZIXR#=0}viudOj$Ed&N7?HOVQyWiI!9m1go)NQnm)dwnX0uj9 zw~(`vz9;l;8pm7SsQcE`piA>D;qn^a@;az*X?_L&TI*Z7eEu+efQ6sjY4BFy=l^Hu z9z)!HsE_&m@cTJ^?CFE=d|=QIuHrq90sjXBa|rnS_zBpn(y&*BaX+sCyQE_$zYT28 z(5D}~KQ-)3WAYEi81Xm#9<@hJ;2E0Ju6$9v;H_Zf-=APmmo7tZ-h_J>Yj`^&#up1=*Bj+0p$q>ujsF|e!NC>S?~^aG4Rrbx`2%lVKi;~d zcY3%)DvXmJ2H+dB6 zRs*X-zd}=KB6q5R7}$HNDRW)Kdv;MNmoCz?>-foIz^~WGp6@~ab>RJ>G5;mz z7K1O=&K6uMw>m`Vpia#)^0Tws4IY0D`RnvCKMH<=QVATbe+z)+#+b~=5t7N4GxAf& zzkCs=+j0DHJ%_p;r}sK@>#-|}om^4-ijcGLrfWGXH3ojH+Q+S1rSZ>09s`=RN=tz@ z{%y6-zGg4~gE^9TLhY+tLz@K6^*Hvjt9v~!pe8t^_6@GZfj0gaaIQ7D7s2nK+Wzg*mcFL}?~33^mL?3G=bylDAN(sn|7Xm* z4Icjjdv)pi($p5!$(@_9R_Ak+1*{O6qA&}|a)y~Y^vkoX#nEiqn-7@Y1m^n4Zi?=kde@Mh)r z{tEcr#@^R(-Zqmxk#q74;_^l8FI?8wJpYOPtxldb=udyF(z?{`8`O2R*UV$*;@f7YzJa;CDEAnmef6r~hc+K~HRV@=_jeO2;89%Jx$6S>(G zA!LiO_xs4@8GStYH{ib+Z{RQ2%o@8{>}u$?wCS-{^E6@Al~bQvJ1atT_YKUHcu0-zleH z2Q|f8Gkq@+dEgW$7xA3-7E-@Qy$PHA1rZXhykE8|H)>bBYa4el&W*_Tv{oL(_lOi~Df+FW06EO^K(eDh_t zhNEPI<>)$&YR zDI>;K7WFv z-&@pUb&ME8FD$NPW=DuvLf?QPQha&k?5rJ83~)TK8I z<>z4Awy)r=nfV^hwqa~}?Qbg7Kh$ruvuMAs3dTsX zPOtCe?`!vQwXQ?FUj0rZAGgCt&(iv5S^pg~dKs~C1$VS;+jx;v1viJ^h4{9B`l{?M zlg;in**5Gl*(4w8tw-@~p&#ECj?&+W_zuy}Qh&8=_!+)YOmO%X!Qq<&XRBg(tn)VM zB77IMhXuY{Km_qRub^I+5XAKkK`n5Y)%7m3=`=^=TR56qOo&Yr>|}zzCgc|u{=>q5 zSksr0Z@xUim>@fec!92FY74oj?grCo)HLpu7F+O&j}Z5Tfm@rc`_=&dvhpYP`=Yl$Dr+0TrTbo?F)-i*;q{-CyLZ%6Osa$&J6i?}t zme&Vz|AWfKJ)HyQZ}eH(S2`W?fs2Ij7ME6CP#m<>6ui& z*}Qmp0G5O8cJQqHZ#-8$^wZSiZN&XFvw6ro6M8Afc;xew(3{$O=#V4#K{bIA=SNrpe^nm9%O9U2HcNT~w@Lj&>|p2&?n`G%8X>FUhG ze2%W}0_G$zRKCS?ARxzM!AMw=BR##LKrG;|#y8#Hm%&75LB1`TdYtZ28O+0m-dYSFf!)uC-iYeoAynjbBM7DGFP z<~Tse!GHp7Q4~fjWJ`0a=K+b3#;_8L#%1kLKEFR2P!y>rsQ5y`?r^|Qh~$#ITkpRH zC(9Jp<%@@6lwV^g6zKMaq~Izq@=!G3d!#oK3h}5TO;^{OeDc0vI3OvJu2`QBq8poc z(S3P(EZ7r>8pJ#;a3B_lhH+p6Tklh1bSzCC; zcDA*4c$%BPLFaXz-Hls4#uRgJlh>G3NsT*NTJI;)mOJS!X?Ip7x$Ekh?3p6 z7;O*!f5DH|i*^X@FxoM+akJjWFt%8>Y>{?&b~f*>*(7`MmOvX-vOic zO8!7+yt_LP1%PagD=}P5V_hCCNsa@h2Q1rsQP^$`)u8VVg#FNS?TUtXN5MK4kYjOr zSK2;}-bmw-dVzi-xD2bcZ7nmSSUc^`kfI=V~bm&mm5|PSPld+Bzt>cAWG{Bh7ZvGfZP>F-{J>Dt&c^6-4e01 z#>2sIw-o86mS2@o?`~=BXlmOIDAC3(+ZyXzf%on^s8mZ$fu3r5eX(k4O#H#v(Lgs? zR4A2I)byPPV*whv;b0Fuvpebw6Fa#OX`=~J#|1pQXA*hm%CVz9~6WL&C*J;-sZ<^ZnF z7xP`i!SJv(JnBBn<0|jq9Wv4bBA9x-!5e+v+L|CGK+U1cq%lEl(~Fb=`l=!trIRjW zJABd~jKYQQ=<)UT2K?GtrHbv%ZMf3jkWbe9Sn7?0g7QJS=s6IB72*mKEDqfTfbIlW z>4=q}FC0c_YsscF*iFqxboaK9{1tfv(O~bsK-3pfuHKW>;BDLqwA4iNlZ8la?&em9 z(cgu>#oI`kNv-XA?`?0==IwQ@I=y*E1Kq#1zD1k2Y;WB0RqR8~+3u;MBqHrWa-^6B zZ);OC*7h`dHSEqEp7zFONJXA%cQ@~7+|h8m)Y9HT3b(3#49Zp j;<#&5P3>3icHVQZ`=PCMp8D0fuT%C1y6AaUX@vYg)L7q0 literal 0 HcmV?d00001 diff --git a/neorv32/sw/example/hello_world/main.bin b/neorv32/sw/example/hello_world/main.bin new file mode 100644 index 0000000000000000000000000000000000000000..2e6ccccef6cfed6fd4491f39769ad557f91d4457 GIT binary patch literal 6168 zcma)AeQ;FQb-(XxAG;`)=#vmm9rMr=LMTFBj9fJy<7kaO*aX3%4~rpX#Om#n*zsyt z>{}t|r146t)rQtiAdgs6Hxp<{OD5BC@TiH>{?V>#gxpk};2BTwAD$sp)WSB6L@l%+ z%e(#E_ntmrm`-=*aPK|m+;i?d=XcJ1LiZ83UQp{EA%qz5T1h|Sa~E$RafunojxZ!{ z40ygHv5;#1WD^Lf}}i*An8jOLDCZ#K~g?O&`1%+ZWth zDZt#~ait7NlzONQq7&IIF-%S=+kkV$kJhxow!=E7BnX#h$r*{BdzPeQICr21ag&7l z2eZWYbV44-oSubYy6HU`=5yHLmC}Q}QsUxjuV#B*bHgrr5w2F?48&#ifi=GUH2Qami$ zewfJI`3JT><~Q!!`j~IF=aZOsWNdvF`U|!`<~Q!y`Yb&!S31ri=6s@LfaeqspHLW{ z+d9A{wt6_OjNuYx<1DvrfK6=kuv|IACd$Vdu4;fuRCyTg8HPzbgM2ZJKyz-{ouvMj z&&t8y@>wz8Y|m4ecPyV3^YfX+C1wb6j2?{V7ZR5S=o;fVUEhN|i8V$K#`E)#(>2C% zy8aCEVXQHFFrNP($mts6I9=a`JcadLoHeVIZ($^-Kq&5%inGAm1V4rvQz{3DQnr%; zet`8fGfQgwjG>_uhBKWTZ9k3NWyol1o^TVWQT|E}_&q*dSe--s7_JmCDMd`SBPQF; zn6Nf}v(%nx8@{M->I1erRU%Ya*cSxgDg2p@(HfjZ{r!lIM-!*=qj;23V?_P#tr9gb z!b_M$vvvW7*Bw0_B~bHAI=H-d ziaB~WNt`3oM>9*7jfp2wpPF=Up6cJRY>QLl-cj7%|6hs9;tQ_w$R`#Z9F=8jwDnTO_0jM-;& zA`ge{`yF1@J%7`dKMnbzL@RpOIi4}z=NU;TC0v_84AD)bB7u=I=xuERqq3O-dkrV)Oa4a~%(+ zH=WDkJm^;g_B*rkKHM9l?}y`eqLEDYO9F!$-r#~C7yP&sdCPjGYNu1F^f;A@gHEN~ z?^Mc;12acEf$a+Q31OYYGkD`~ieq2KyRg2ONXcIxK|G%`oHzr%0}}5$>9+u5i~v8^ zMGxL5R(&5mKyb6hxk3|Hl53In12@Ij^i!@S$@wHPPH!jEe{kvxiN8grHwHe(*sPq@%0oLt3#llv;;Onh~G9rc^2@Hl~&^}x#xs%!3fM4#%c?13(guZ}lnOdz#J zQYaYh|0Pie$9T0+;LUzlHcn^{!hQb``KslxHj-k2y3Xo>c$34BRl*uU__4_sp64ag98 zF_V*u@wT>$jQZvTxj2M(b$B5+T_9#Do<>ohz#&Oo710+R*jr3?iMNPC@a7gf%zegi zCZ}$C!0tkB6vE@X3hx~5hTO2o4U60`$PI&7Gl&OA<6xv5^nG5e*@*dYpXoz#48Mx+ zMs~FQzpVE`Tp)=_dd5ccOc&z|z<`)j5EIje;}MrTHdR2X1JXHtkwia{LA8XKLNAvGc?prazs zEg~)}-(-ekCTA@CHeY=cv2A+}cP%FL9_Sg1Qd<%29l072G2KQMM>8{I`bW51$%RD| zOZ2;t-a)4CAnt%(AW_UXFOWo?RrBhP&3vrXI`XmNTYaMx7`=w~2)-zu@GXQMwuBrl zDiwEmL-g=?)A3yhe3tFxlu{3;lpN#~*$=!P2VMv8U3iwh@%V-uU@2aelDF}FInLud zl2^)a@=7HmKrSfqU0~P88ZKbhNknPAPc+uYMJdlM8cw&v$g6d5S9qd6#j}VT2mEk| z6NmG1zz+-ju)q(CT4hn+3o#y#3W>^==Bu5j9V%b#RDX}}3^6XK zj(L|P_*mTUQ`!q7_(oJ6=NLmg6_mTE*SZxZzoVkcY!p5wLXm$wZ8 z`NF>0xf@p`%p-WGRT2=?yqGv$IP|%>|8H0K!_P;?P$|vbXQpgot*m1jZ-(j9vzx00*j-RJw%R$p@gY64F;qzReF)hn>VAP$ z-x2t#;h!b{tgYx@lMU)gbhnq&#NilrOox7%W$M*i6XfjcZLcQ>g~5A^gYn_PfBSk> za&T~$aG_Rhyi7)g%eCWU^xxq@?dy~~I5<40P6|Zz3gk!))uqzuZwXl{JqVr4&_O3@ z`~`XaFGkl*khf=EZ&c?ed87Y1Qjz+{Po;%-bEA%rYSC|4_1)`^w}$^Ir5>MTxt}`7 zS@Enxc}vVqe=>=Fw2=GD@8A8av*L>*|NKL>tC$&#SESVM6mbdo7sRA+F+Y=?e)D#A zFrK(dIYxgCxtM=Fn-t!=@hIm(&ObI7_iQM+ig%JtTog#+Z|X_n=Sjl-e1at25*Y5S zdWO50WVoMBFy{`U3$df(N3}{-|B@oNqY5*qz8Sp4*K%{DH?AxA+emYl%go z+T)br$Cf>`p+0;f7>$H`v~WyT{h^TD6^-=BZ994*0gayR@$#k>d6Qo~77S~$9_fnp z`{CN#e30&2=Es6PTGVDP^R$yOEgHs!ZQTaH9;0&^``W^@1 z`CF~truqYItsV8v%}40EuKs23o_c$UwXex%FDhm4{+8C=M1J8Jnk5rgm9nR7 z!S24O-%R|AmJio%^9Lc~V9ib6UR~CMJ$)fl`FWZC$evI{eMQ!LH7#IqWO-|!y!TJ( zh6CXp2x_k!&^r6NyR|43$eunuhQxU5mbGQseRAtb*Is`Vv0KBn`7dkX0M5BqV(NRM zFdfs>SRc)mxzFT#dwN3}MS*oADxrKLm!BYO_t@@9^u(@bcZ7nmSV$v%R?dja+v1UF za>F{xK{r)t?hXf4Jt~`HIEKmL;IZBwbKRwdRV|1qIoyK)QC?Rte3FhQ)vi8_Eddxb z$5=GjEfZI3UpN@O(2O3Zo7UT;Gy^nJ%p=~Z%*)>B2(7ptPd z#1FxaYTYnVu5YiTq3=8u(`f02gFQfIchnz7!lJ?0sY>}kL&Gzz2byJC6LKURI)(k= zNK6hc#|(w;+UsjV;`_pYwsoo%KdQxDaTJVUD)$G?0&^{c1}BU}Pp!b_r3f6TYf*-S zn@mDZ1pVeTgub9fUBoQe9&3Mjt(iBonVP++O78UQD;>egDnRC?2Q_Q3slLfhDhUE|05P`gsrhr_ppr>+rb?w+5;+>BHp%*aXH$iBVD86uzb>*pt0#i%K(4% zASS1iim@G-3hIdqaNJ#If8P2?fOm1zrMqhiAxo)p(wfN2Z8|nT%4K3!nWuJHdH?a>rXJ36CWfA!h znj_U|^tCoMLw3mPGj$K_uW$D@!z=n!yQg`-cYos+xuv~>)?s;<=BGujBPhrF5U;>? z`GhX-eN^f{;SYxVogr;IeYbl2G4n+a literal 0 HcmV?d00001 diff --git a/neorv32/sw/example/hello_world/main.c.o b/neorv32/sw/example/hello_world/main.c.o new file mode 100644 index 0000000000000000000000000000000000000000..1ad8bfc2f95055e33464290220af5af18168e01d GIT binary patch literal 8436 zcmb_gdu&@*89yhs(>6&{C+WImEvu7lZp#|ScKTl1waa74<|SQ{X0I~Oac&Z?j%|Fe z`=aZ*2V)Nyd%!l(*b^q;k1>Qa9zwvRfj~?{NIa(ffdqmLiKjI*fhNuTzVo=}*bHq* zeDb~L_xrx@eCP3C zD8zUWp;;^4RG$bM;T+A8Bc$o#?%gOjUrCHN2_1jps-@M>Le(DpD(<2)w*VXr{u=itT@azr2wYPaw&)yzVuZ%INZuZrTtr}yu@LOSR8RG=Vv9*I=)ZWz^ z*tmY<;d489_*^V*)jiDU>Zs^d`xYL zgfVZxC9^G722Deqbb^JLw24I`th8iEJBbp{<2&uEsldF_(+IHfKY}&!jZJGrzC{3W zBY)Yr$bh_s+E_>~xqUno2n3Nb9%^e2oEAVSngao%|Lq>)%>hmhxX_(+x`Jn8SK4C} zBa>6JgUR&agHto<(bVKM>7mJqnW@QC+9_0)S)FERX1ZQ6F*z~9^2NepMBFL`H@8@D z<|Fjn`6RoPDYJr8EFVKNWzR`xmz?a;bk5Dls=g!Dx_shrWGJySl1Rpqv3R6^IWw2r zm&=z1lfZ>y|H6Fk7?4*fIQz=Q>`})9L#TeY+W@m-bO>xk&$Fq<_+l^e-$_ z3Ry2#EcCmMC`mV;@la23<}MY>UZk!wa+c8RhG{xI$vBY*3aS;rqxU$g{Yf#m}%lwqa(8;6RNM|skD>B!*x^aI$ov3s1u_1B09P2 z?o={mFP@fO!Z=^7%;g=X@+XF%LW>;N*DF;#m(jpuSvIg#Ty_Q!DK{|exJSKWY2Z+~ zc(dcBr$=VS(^KQqL;bS@s{Vj`e84%8SuW+Bfh9VG(&7;MKLsh4yn%Ag%^s8Y!DN4> zaI{c7Ug&r73j?`AHeZ={29`6~rCh;b10T%YJ?XMoY(W0d{d{gthtgA^W+FZBEMzKq zFYTQyIc{u;rCo0xlMbYYZ%~>NU{O34VC?dtp*@k!i%G}X?ra;3#}m7@s3(E7;km6r z0*s&LtDA6&*UNBwc=$HnH1^V1J3F`@HZc>7)3zQAhs5KMEXC_9fr%YQX@jgv2Y@eV z%f|!)YG2gK05sw-(+bq5-O<#l*3527PSsizcYV4LryiNDv0B>$F3x9^WFN-e?6TF` z1i0BWtF>#vO&_YYIdIzJq*QBp0C6e`MYVPurT7n``0XfZZlzRfp8{B|DcXMw7^k0- zYVBDdadZn!we~zpaVRVPZIt3DRs3a?G$&H3wVwgtcv4cWy$-ZiQ?&O7;Bzegci?pv ze+L*RpOR{=8Ix_+LbcWbj^oU?Zva0}Q^el{PRm0|wUz{@9BIA}-26SO*2cik*Jjk8 z2H$A$8^MiKYd3=%Rkh}U$kUX(smF z|Chn-{J#or=l?ZuJO94}xAXsJa6A8RgWLJ1!P)t50k`wN7TnJN`QUc`H-p>xPlDU| z-wST%e-zx#{~>TY|JQ@t`JV^3^IrtF^M4B5&i|d@cK+`NxAXrPxSjuJ!0q|`Ciunv zf~eMB0l&fGzXku8#eE16uvP-;16T=f!{5mJ^LIXgzgaIbUL!a8l=jVj2PBv8Qlyl8 zZ-YJcKKxLF{fNbV2oSJVI_U$}%9h-$Y{|_^mfWmd$<4?l?-28A`YZW^WE3L#4-})7 zP5Jm!0}tStkRt6Z4V?BQ+uql}w>Iz{4V>O=?E3b6)vu2(_HJGNgDhY+8-!TJy1+lD z!{NzYUuW-Nec)z)>0n#H&8)Vuc%6Sc+YjFDw;5(5b@nhzfoHAuroq4Ok0;Eo2k)|Y z2K;XpUjmO>ya@gYE8a2i2QB*^Z@HT5a&w(GY?9YQI zEdC<+g_i&Kz`tSHe+2#$i@yqPR&AL53Y^Y-DPi^-@Uxcx8{k{C8TtAv_>-3XZSc6& z{=48g%ifH`<)c>pcJO~%_I2RDu=x4lS6Dm>ZY0F|!R__84ct6cA+`tH-Y*V-+xx{R zxV>Mbz)ikFYzo|nS&5_zSSN|(<|L8)9CnkcPkrpf{^VHksGr9h_?C1ILLn06ATp@eBEVVX*q zwi2eXglR2lT1%SNlBTt!X)S45OPbb_rnRJLEooXyn$`wQYlD7kr<`(8kG`DI$SrBK z=p)Y{Kal6g@%&D^zSZ@u8DEz%I=@E7A7#cLWX2z3#vfwFAEE2lb^W@oU)S~Px_({P z)b%IF_2CD_***Ql1;Pfc+C`wf%z*18wouc{W9D$mz@#7K(APz3BI4 z@wG^Pq^SP@QLn52rldVdYkhIiYWd|ue8CX!4V_QY&MDs^1|?(>l$}pWLRjm!0(U+w z^@O#a_V>{M*LFx%>{Q=5nphk-kv#SKDO>*@a~FOpWioA8pv;$2%E zZuE}8%TiDO_qi)^A7M{oI!Ad$;uvA|6`A_R>BSf_go~dLkX=Feq{LSfJ|*!iVf80| zp73d@KT4QBnM0^6{EUF?Ea6)v{y1S$Kpw=!ZxfJF8u;xJKS%fuiC-jqr^G)bOaUN2 z#l`Ouko}yn`cw28;k%{&O~Us`{1?LaO8ge#`y~Ds;ZI6TFRq+E06@Zo@0WNT;ZI9U z|5f<|5|0w5K|oT3ACmYQ!VgP)1Mmf(F?f^G&ymk(rT!@4M0c51}24#7Herkp7(IuxElNw;!gF`sks+1OUnnW*ESc@0U8 zZ>Qkd;_|YC&(?OOg>q&YwZ!MmSjO|pxw(pm*8T^f?;m)C{O?NJ8tMiW?^S*Q#T)%7 z1_{kS?a}Y&w-r8ACy9%M;?Xmxh1w@m44s#iPeq*8yZV!sj0ZlxW*&aE-|{ zg$arfP@-+e!R>bGgH;?l8b^z=QM>d!>Nx4fNqr~zB`$j$KgNSVYm3IwrED~gH*sMqN+^V^ z#o}&kmkiXVH9QuF-vJ~H%Z5FHi`oj~T7?Vod?|I}{MMaL@AX%)wAKFVuMNIi>94l6)&73Aw#8Ok{a0;KtVOurwIAo4nFMQZ zfA@3m{agpm*?X_O*4k^Yz1G@~b278FqIxOkoav9j{>pTz1i*s7Gf^&KQD(9NmdheY zS!YForeJKA%KDHJt)S0w>+|v$qst-?;F>>5eFUdZ4=z7tJakn2`17#_KGwj;8u(ZP zA8X)a4ScMDk2UbI20qrn#~S!p10QSPV-5W48tATNh21%&g?ED0KwoL3drxiQm?^9; z!nd8ele4~oZDrR-4AkL@VBa{@6w;kfZW-f8d;X_R+=+Bx*U}S-Tc2c!HNRlyeHP1){sA}@EaG3vI^KHu z)EFDMw*Ah)?y}v9#uKQwS;St3y7=iax!~>F@y4A<2X^@0NUYw+`lCMfY9GO4z?pnH zf&`!uzu zWi`>BmKFKQD!&`~xRw?911I|L<2z7~v<&Hicl+<#MrEW&sQeDUW`xv<&Hizo4GVNRLqYZPf2Z`EAI0D!*U~k7QVk>@I)SUfA1{zK7vs`LnmN z{24_Y_5)iVU-ie?Dy(pxh1EeKWonbCJpS~1laMY+x_0>oW(Qwog;vBKBMC*p(+vN*+&+g?}a^?;I!mHzW_%+&w*~1m)Kg zPx&Zr7^*$=p>(ap8Eld`@jt5mMJWz+PcMY6_l;Jt0Py4eGy6^?p0o1?PK;rRUAC^9 z0Q}2UdzZU1$6paL?>H`OOv#vmaagVTx7x)$@LN?s3H6Qr4Txd;e0<<}ACFkmn7Pto z19l;cjIwy-1Y+Atizkc|If}-&a4f~A(v`3S%m+708+c(ysjvb2Zyp=yyO?Z1j6dwa zYXg#3#bnr=fqvJ@vK4|o{%_R|@-M%k@G@baZ_516EcnOk3ZCQQ?;HVqrPG}e;OqP- z=oJ-H{MSey^OK*Y*mLs3?0Ud{izj|} zpFut!88w{^?6%<>_rZQxRsO+OMGP1AZ{;Aiia0LjgZydmG0ZOmHk;VJ=aNFCtb5P0 zLR=;Jv)Q|HE`APU^I+v|Ls`bzEh9~@oJlzXPo)rorh`u@c+gh*tv64 z#NzPb5ka&QL_5L!=#)_Y+@g&9*<~5|vo6laFKEihpK=E}9O?OBN|D-iK<%<;dq zA0CH!A=JqtJAZgN^toUl12SM8(Eoe}tp)hNov@!5>@v(xM&fzI0ISd#*q1BpDiVC3 z;z1$V*Rct~laY+EY~aST*s+mYK8W~UVaG-{{~fXi(T5;xB#1Z>M4ZSlXKl+c&*mBZ zXCDbryZy7uGGH$u*vlNk6*Ou1gyYZvm-O3>Ip$%Dl@9E*at?3$HcM=O*q6w)d?Mb> zIKn6fp}*rXUZp0=13T@UL_o$s%*pMjn~nCM_Z*7nGIn7eP8-7ypjXVZdoj;W!90st zIlBz;Yy{@wi}^x4I2rI+EV2XZoSpD5T0>=&L3cmw8?X*SuZTOWbm@HSYpj2n#SRA- zbAsjfy^poQLDUVF4crL5*#`xmV|V=RE~v3cU| zC)qtaFt6@<_w=zG`xM#JVfZKPko7-hBQE+uJBH1-Ut#$SbM6?wpc~kA;&dYKZ^nF# zO+NEsU4?lLeZ$xo7#jm)!!b4-y5`V_NqQLA?Wg(q{e`2E-_GH#k$cre973bJ8V4u#1P6QACw;<0RPW_MUKJmB6rW4tTbyySbwom1=cVJVa z*s3ydnE2r~F?b2ISSzyk`)9IKr0;=Iqo7}`JA8i9@f`Bwhq2C~ zxJJ4d*!}A1#4GptXdO4OFUBK1NbPq{AAa>t7RhbqhhN4A5Dk)603x?Q;deI3K7ABY+6M^=ua*l~KH4V}(p?;k$#Dm%6x zeVcmlePK(qE~Gw0X&yu$5DOw?GZ_b2e@yy(;)`ND9AC$HIBh5Hm@pwbbgTS&JZ{;{Ks;SpX#5*B{SXNWchU$kk0mfEE;CwzhvOv{6Ie?;wKoG=efes6f31J8o*yNS^a zYD`~#iDVwwkxO(hq3%zK<(83n-15!c^|hVXtsM2(&ZUXXh;FL}ncu(5f2{S}r+7u; zl_%NWTUOq(bGx3+C?JzJ0!Rur#sk5IbxgDn0Tr-FIzIUO>?8+jnhG zJZ-T=mBlV!NVrsc>@w>V)m{voL%=~KS@tb<%T0#^PqK$zy=7VA_|v|DO$*qp-QRgV z^6oRI5BvX6ig;rro_WFl^lQb^Uct?Kc5?InC;7gM5rvGy z_8&^~=WaTgAH4)#cmm${DrVvrPUjaqeL8>E`cwI{5uN6~a0 zI4*i1AI7x-dAjnDpXMnO?Ao;Q4QXY<*$3D`To2%S1lJ(0XK+1->qT6@!Sx!hw{X3O z3)27#;L5?3hpTWiV@v89D$b8GR@L6!)76{kY3XQ>HZ?bQCA+($Z7tnRtu5=@lg*4p zOQW^r=cVB#5`?y{skgNU+*ws?YjS;4YqZ7X#V+hhHeJ=((b5i#>W-%7;h0N!Dzo~Y zj;`cz$Y@8^r4UrKZ*1x6Xm3ll_e2v-t*y~@T^()Fm2=uUnv*2k@bxuLeN9thLrZ%y z+TF3PXHyfJuByA3=rp{ir7hW|fEt$E+>`8TM~4;e(x&bn%11%Bvi+*|j!o^+p01`& z2-Mr1JeR;MdRaqNO~s0p4e^S)y345?t5{W4UZIL8*Vfdk!t7|(^7@AJSaiue8YN*@ zv!i9PSlxN72WyvmalL_SKh7TDmh79j25}w5^&&2WesR5md@#6VNpyL|in>+vi=(w& z9W-(sUD5K6_H`}md%K#%z@IPM2%aZCsDvJ5UbJ1+9qn#u>unXt=SJzn%3C`US4F!! zlgVZYiAJaQMk}u&f)(v^pw!N2b8>C(`t`{!Ah7b@?j8(GRZL??qlKHNZw^*AbwPI? zsDiIbwl_mgZ;ik&>jLYZWTK~+#!Ao$cvV|xYm%%$_K0c-&Y}eynU_X2&$>3wFP_ud z($mwLWW93CSg@+TEJ}mh-VJx?7N|6Kt6LJ?T~U#?_aL*nWkYA1D6dPlCz375vej)+ z5W&{9v~Q+#b7EaD()wmF6lqUa%laq_HuSc)w6BkLbdu)XHkOvvH^ggJRs)rZe0^or z(gxtyZrIX2H(HZyn@hvjGnbT!50u@NTn`on-Dk}v)vw*slO)$|Z)t;NuJ3AU$AERU z^lX_OU9oiOyoMEZQSymsM|9PR9AZAomQ%8JcB&_ZuF0xUYc4hYf+95{TXrK!Cgt}TMi+Lra8VoaKMkm(_+ zO?I_(Zb)`DwRSt>6kS$ZwF21D8j4Rr7F}6Z*HEa^BBb@TRm3dXaEVN7FR2mbOJWTY zUblQ1(U&i+7v=ius^u4f4l$>?B1Rw(#n(v};@w0+KR#;R#zhuEV3l}XeyRbY~ zv6SRjAG7eOr?1?4^1a_2(>=v#vFo8xsK{x7-P%I%g>D#tS?R`7bHvO7Zw&RIxAXKJhymmp{iQ8 ze5C^^I}6lZE!~NYj88_^mt#>JY$@(6jJMG(fg20(V2DjXHI^ELB zuzv?s)<1__EeN70c6#u1b`Rh$N`?Zo0eiPXypRPC0Xz!VepwAr#y62A*+vZFM*RSpW$w#H4i+Az0pY&m$S;s12dwG1 zHsGSq*Kq~q$iYe>u^hRe9J$b*(Eu&XqwxsJQ4B2up1F8zT!6%ghHirenKgM`1d^j0 zqR};1jNK_fVswLYbc1qq&yk}$`fiD^3Q35D*e8cLbUPaMMI)sIuR@EyX}R^tkA9K> zd(ob6c7y_8h-|@Em`m%J(Z9njC=CJ~AcGkFK5o-Pz*#t*jBmzv*!EWev(A6ok0Jim zNE+>vXxT7+0f5O9WehB4$OpMrQ2H2JNd$}#y-a2#jy zD<-;Siv@lPF9ZsdRBrNP&`ZqZ_o42f$qys>5||16yp1lghTx6NHRm5cIa6l{!=8`A^r-IoDhE($%qiA zo%zTRABQ9o;=e?a8{)4c85QCmAQ>Iv*$A*>&>oV!5TB1^Y=~DN85iQ0AQ>OxEl4JW z_@|Lf4DpxIzeyqfHj>F8fR_3~JOnLm4{;OS><{r}Q0tBmuYn?WhWI_`*sc(N3|-kB z;&pJo8$$efBsZeBcntBG5Z{gDrVyVCE;onxy-02e@k2O@y*0$Q!GUfI@yT$YJt5wU z0oWVj&x~j6_7Hyo#xM}#{|j~dph(nx79B&~9U=Zl48WZs{x^8(T_{2GpM$vQ^xYvo z5yF2y#79Bg{ULr8#Qj2uFGbxKAw231g!otp!NUABBs0SNX4tM7=KGQO!u$awR+vAE z#2@BIk=SAW5|Tiezkwtp%!?sXFwD!5gu=W5NjS`tNHW8GBa*Bz-;E?Y%y6Xvwp z9s!;xjSTZ2A&G?f4d_vBn12q*s4)K;lF?!QT_j`BERwu1{}qz4VSW&O7#HRbAsHX$ z94eR)<|C0z4D_Pa_uQ-=h%=^Q&pZ!u*FcVqyME8nH0{8I4$&@5Q+93G=BK z>Ahi|gNAMo^EetB2y-8#-WTTcA<}2Vd@kzl05jCx8Rn}X#9csv5T6V4OKHTyd^L?2 z6iFi%=GW7Rg*h$!z8L1aXvCliMAH%3ycz9{%;xJLU?iKLkA`xy`BpSEDx04N%)xAy za|?9vRgCYaxc`&jX!JvV)3_L7*v73u4H?&hOOD|umPR)s@<`*CxJQgU*n6%q4-svY z;fIBdHVSbcWBeEHdB*2q@nelIL#%PeU1)2(F$ne(j6INdqH#48GRdIr$z+!E01^`6 zOWc1Ci7*0zml+dq=f+Ij4dd?+!8Are1fQ`8cgt9Xd%(B`_ptFQaB>Yl#2I636O6xs zX2JMsbetG}llu)cYrKgvGs;24jRK5}VLXnzY4kw=%Xkw#w~gNe5-`3Sf$185MLuZE zMLuMF9=gaiK92#JWQ<3j!Tw8p@nTLokZIzzB$s{`Cd& zk%0hxfd$CG2KxdH;5LaemjZ&_Odp#Wc+wmXHD~?^!aQaE4xMJ18$tfG`DbK(tY97Z zK4X$jaw>sCI{Jy}F9(egM#Icl0H%}K%xKJd0Kl`#t1n^|77??|PeP!jBJVq^enmN3 zCh~#Ii=mxLkq>89g1k!Pb29%5#eGubb2INohc6QOyv*%TN43aLUk5WjD{ROBZgKj;~+GVX)MNIW*MUa$uYJdKf+iB zh9ixAz>gU3fLX3F5#1eSe8*4TP=e7LV+`V+XZ!*8u?Fpy#u=|+#Ks#ZA>Rb!|A3|@ z8sh<(WPA&?u&N4Zk_7Cr>>rST=VR=du?BZ;T!Xt|+=aVod>M}GGj0N7%Xk)fzwt-h zZDS-D2aNf+XBZ8*2aQW0a>%#n7LDAX|i0{=1%8=dkQf5G@!#u7`kT!u4h&uP)HNLYGg>z?@L_E zdK@7G zLaD(ykw+k8%*YZZ#3s`=j4*`2*=#hinfn@03JiY~R?`VieE$sC;RS+ufiasX8$ot9 zH84vyV3`}B$m|w2S2lAU>gO#0;z&?tQImzT$I7p_BpXqSoMRHz2oY%gix`4Y z21w+G@4p5-W?co$QN~;v?FYdFCCTGTRML0`E|ZEC1EecO#pO`a*PvCOkx3izQsTsY zMj^3czUH41eMk)#^FyHTFE5)3w>XIWo{hQ7;%;vXZ#3n0_uvit`szX7CYWjTm2Bzj2xSOK`Ov>n0< zNzkXF&>dwQf4v!X!cEs;OMJPxw*ko&=H5m)tq1~yOrJu&;%@L0L0~ajkwG8@WdS$; zL!(rl5#=`;g{F$(xtI`9G_>xFD0m-3qVx`rvXp03X_Otqqg>20S~Uv2TRn^{m+_3- zG|HD96vq!8P19L`(DWhfB2_*WWyErA6$vQ&rL2fBVw3Rx~nqLBxNCo9qQ zgDGSeY49TWyKIeuiPPFuy8b<~5(m6pgO@mDWHV0vmvwzJ)eA6r(8CgJZa(4xJ}ZGn zAnMZpPSaoO&=dc^Y4DB|a1KhM?>DD_XKL`i6!7^Pd@u#PQiJbL0k>-Kp%iex20xMl zzFUI_Q@{^t@G~i3DhauNlLCHOgWpI2zpKIT5m*?;kDwEgIpS6R46L;T+Z>U(jNH~$ z?RmQPN@OJUw=^5tH#lS1rV%#}#|E#q$VToWHV)C}HTX~p_!}Bb`*Iii2O4}l1^gQg zrjrO4`-BD;q=2(TjKbn#Q3`mv2GhR5WwJzrQ<>Cja4TU8ou(Lcx2`|w)TemmfUeKQ zQf3(X!@7P6)eCje?Dwn$`!}=FCDX>aQvOb(H>RM!@1mdQMIQkyG2&OIpr0kd#Ql6P zdZ|X=kb+KafeWE8^`duZ^v)FY-7fkCUi2?&^vx;g-*(YUz34yE=zS^Zzjx8gyyz!2 z`VA@QxnjX3WWUghezt^LCLMCSdM|g;V_x*t8vU*m^ez{@+>3srMn9N>{v{W^!i)Zh zM!!D={W%wXnHT+4jeaNv{j`f-=|vxn{f01tM^n(}N-<l^4B2qd$>?ez}YONiTYj zMn9T@ev1U#;C|7x$>YQ$KCyhbSK~kL;FCjrSA%O)JpQM{ga4?(bdKkG2b~#+o&uP@ zVi6!#_5hYo{HaX74yYsZAp(C+th*u?V50WPb<~9yQRQP)d0Z}B=S12-7nG}|2pv|0 zWFF_?Kg9Zx_f`7zgHOKy;5h8_p2L1^yI}ngI8T_0&CgCzq!+X%SeQ%i5BjlIqhhQN z3$hYO*iMw60OXKpmp0HNzlWtMKP<|*w7();iW7&%9*3A>!Sfy9$#qC>Hrm+%3<$*z z7eMjMKM|m3fDtZ0=6OObL52xt`xLWpXOs~t?bUwcFst|uiiZ>i{}0Rm6O`XXwf`w( z{!T1kLMDhsA7fKVHqyaV6t<7OL$e!=+EE0P0y3)=3~ANNir$7EJMTtLKLh6bp9WcR zOEc>{``-YcPMnMG!(d-QX!^tVpHyg9oJDA~>RdVled1S?kV^SwY#BXE<7IyRRGIIm zzEpxUuMH8+O`w@dFv3_26_mLSzl~vSFze(LY0O^HZK!<%9TW0Ri zbpNU8{u`P3L?Tfi0V<8yC(Q>n>Yp^~2?y1B5olyO?3117i}Cw8CaHAdY>@uG=1YK2 zd=nVIzv6euj@(4Y1g{E%VkJL?HT|5xH2Q+z=e0y2v0hME3qXC_lK__y7RPe&wo3)C z53sg2_6n?#uLH@rUF>SvB$~My`qkX?;}-H2NT4MHA7}4pgvv~ApN+Pwn*a-6gWLCjIBf?q z^aOScs=_-_cotBr2Q+FEj9l6#cm&Doz}|pqK!>Dmf~^7{5mjqpCk(HONN}45-%sei zJb>>OAcXxNm~!P918a<)TcD+aZ-VGQh|Lw7%~a-2VE~a69$-A z0rdfl7@a7wNwinfAHM&KQ5ak?K=u;4RpOT6#lZQ`zF|P{Jn@_7IToGvII$flR*75RmUP1mrsm z8T1Z=v3P7f5CZb8l#JWphDJ@^Is(ae7&6F%@P-P^7$ETuLqNX65RmUM6v%fNP`3&w z86<&vLnVV=Sum&NE+aU-tzgcMP*lrMZ?3cLHP-P z!Ywyo`Nlw!AU(*mMT-x{bfcW@rxLf=n?tcCd?lyl6_W=JH?iu`D zunO{DL@A18PDR||KLv+O-h+DdXmasr=RbfvQ9jx^3JMmKk9I~P2IDU(n$q%74sWsWoiUxr* zsk5hxE%`NaXVa-Mzs(FBLxD|x9kg4_W~@|}pvd=+MwA${8KAQVsl0j&Ug|`tgh=^i zSv_!@Pn)A=0LwDp8-sfbyUw(4MJ>JTG5aLPcwP^lTg@zD5EDM0M{YtiV@ahsYy`D3 z`Dd_zi%tFRAK(9V07q^HXckc>K{=8dzu25ljo*%P);@5qH%EO>G#}0bWO|gb1~c$D zAjaMXNVC!m-w*W1fNp&XrEDtEt02C6aXSm~$v22hF)~cZMa+a;K{kR!$Rox4%ms$m zqR|Ta-vV~J(srS24!k;eD z`$a-`&!CJJu~V@TiYT)iGsm6n%JvG4_Y_( z9^5K~pyvN9n>TiNL^9A6BsBtaq(EnbpXd+CATmTLSdd*73v`@q-Mdu+KL|r(k_Q2& z-U=lC36~&|PC)E7N&8h~VaGp(Sqn%R1ZI9DLr(-^BuZ-dlePsxW@NYrx)HS_z>N4ZBI z-vQQ`1VEFhH+(hAe-9YvcrfytqIkv41IQc!z+0TD58r(jmw9@rK^Ub70y(cje~=5WzlqA^^$&94_1{1lhV~E_9`^!D!t1}mh1b&o zgOFpfw!rJ)q8Fo$G_R-koYzaR$LooTWzuuztGIrHneQhS!t1Z%!s`pr2zh;*l;I{6 zh1a)nuh+M8;q}j<7GB@U9j|{Lil^pZkvI7UUcUqxlEK%gu*{p0 zA+Ik0)ZohN_W?*=9}~dUDPDg_5nSpe7*y<9B>{Ooz1T@|I9^YW)R%MpRyW`OQ{cku zmviCuFQIc#M6FcBDU{4NKusKuxJ-{L5HS^AUk|G)uoN)ehR{s@cInt2MrXyBJaYH{+!mzm7pBg%-#wQ0^=%yRtmHH?=)Mb9)!r{e?@qk z2yYs_ZE%z-FiB!Apoar<6O13#{yzN>y7hh3i4WgD{WQw7u~y}2^q%xa{o*~}e-p-P zJUP2?^?tN5o?Klx`WL7~jxOB%VU$AT=GP2@*H2Iy*TOz6eEe5b=w#Ol7k`KF$i)W; zFCROx5IOiARHC<4Lge0eQ>mFsMj2&63EYZ*Yd&$uv5Ywpb<=QN zjEnctj)*o`m4tM90S;Qw%Jr9{?rsOicmr92HyXtFMdW51?>ISX)d%Yj7=h{VO5~<7 zJ`L}|o*yz%FbbHNH33>QEmno6RlX`_kS8?2@qr#@14T{SFvw*GhIB--_B`C8rD_hDPw| zS&@)AdHm#+V@B{C1h}vg4>L~Y3?WrkHUuUyvQ=wBu9;)2BC@p{FSsf)f{*l<=3O%u z`x@C0)W}6gX*J{YSa;RuN@HmW{T3^V7!bxTK?eO1R9G%#OyotPfyOEPQtOfs^&#l$ z6L0c*)fOgdVuRQ3q>`YwkyVjJ(0Epf0CA`ieIul7KmzAZ@j!A?)ou|kwdnL~q+sF< zQzV5aCQPriAt^0hUS5gDMoZO>Q3K`CD8fjJAXqU^!7$8&%;WTElZ-UlTGb@fc+%r` zK9)v!f)+&B4|Q&$CAw>9i<&f7DUwEt$nh#ll`x5@Zp3zMhwAZ;HJQLv>QWuLKm(w< zl<$S9L75f;-pVmVIUVLhb_@SY(I@p;TJg*X4~1^vQ+#B{=vfAl6^OBv0JADW)nZRU zVBAN>xTI1o|*8bMWS2E z*bMY)G0ivUDyAVa&U9%$p-6-xCcuA|q+>^gL7$fjmca8xR|H=gybHWVGO1Fbc&ENh zXi^!n{ONc$p(6w3}-EmFQ_e z2TYQ#O87pAKAB1+CA)|sjdGT13N|=x6XOIOEhnE`GPXTSh7$bQwhM4V8N@Sm1T)O~STYQ&uF7W9jUm%p zn;t#V!XfmQEqjG+fSyc*i+Q;s63Q?(QrL#Kw-L87F;=NwlIuFWn9GF2kCF~A0FJ|t zP6-*FnQu&LlMpyhM=&>D>OpXval9UcDep2J_a`{ujhMp=^XQIN@ht zsml3fc-BSIUJm}lN^8;4ejP57R7))+&Y&Z4E^aNz86>tzV*5yJgCsUcc4HH2nbic@ z>Cvru8bX++K{EIZ^XH5*s>rP-zj@zQSp}qD(((}k8`LBCKh&L zuW&g@D%`=tM_eXTot34fv1nANlLq)oIfRBBz6E~sGC>l6xYQ9_QCLCEBZD9$<46qw zSIgl#OGqR2F3ez+-~&BWiOl7W9->1`3QV)gs8y?)(fw5`HUWp&j!UOPq*HZ*7~U7s9F@&7)|vl zL3NoUbMA0dpHft#HPuyVRP^9t$OvAgs77h3)-ib@DV zUeKOKl`~vh?TShWLR1}TRN2E(bto!lygJjUvWBDTR8&F`YU}DWs?6c2u2xh+5Tfcz zqY4j4)upI}AVk%jMim;4s#{SBL5QkHP_1!nBRCvYkD?NS5LIs)RmN~sy^2Z*LR1^m zr~<=LZB$f35Te?YMr992wMkJ4L5ON|8kK)Is?CZ@2trg_(x~VUw1?RI7DXil0Rg6b zs|fRQ6q{W0KFmvMUY4_!Rpeb-(8N-Nr3S@uT53?5FQ*s4H#uF@!!iaf~vI>8^1dFL22k2BGXS{rcL@f(i1(!G;o^sN(B9f7;(2Pg` z2q@&wm>@K;02;swg_-!`UabLZfge&5vQmk)Ahk?#Q?;15DdRV##K_2nnI=SQ64g`~ zS~02&HZ%?kwG{mxDV)`cZiZHOKvxsIBAo|Pdb`Vf5`1*C^K=Jns3T7ZDAfp+i$wuA z(PG3frCFvdH(>D8Ct6~~kS>R&Y5X@MrRhMeJxv|900W@#D7VTL|89rBG~bWTpKKyvN`DRM!aAt727v)t~YVEvOh>(Sn9JtGkGX;Ln4E=wg*e zxInQ*ubctpRQzWK0t%`YBT=IUz?AFKe?l*04ny^^Tlj)fleR3fHbQLSV(L$ITR5ZzN zz9^;^VaWH3(IjvhRCtTu{Pp$9sSl=bF@LM!Ur2?&BJF6L)&NXao(BjtE20$R#7F|r zkb5U%E3T(trv}4F{Y|M5^Q+ukeS~N6b#a zNqZ%FDVFd6QZEa1FAo?_FD-1;<-&pHU1~)43?m^H2C_AEmoZ36E$zEdN-g>=k3!P= zUFcVbCKQfjPb-`@B(a7oUe*=5UITr%hg&hC+N$ z)PIRF@yj_mrO%!*f_Lm4(k7M-ZwO-5J7?Wss|A$q9#kv(uNFnr1CNHmTTL&X{(!ff zTbxLX`BYlZNYz}HyO5LGb&gXq6($|Qkn+T2f{Y>rC(#$!v=U3w=fax|bx23cP(zW! zW2EZMlfq)WI)$9uB(>wIT29?-?N`>v7*bPuRr*o&=Gc`-qaORAKrP4F@w4G5JexXe z^hctQn@4GsQdWmv zE{B#(eOieLa<@$siM^3aN2*Pcul;jaY7CL4vg}R&Q#{M6;@-r4^{N zsMC{eNZ|?H5d&vBbRZ*@no%Q%8Z)&JR7&D5>qDrgM#73`rb;tt)>brDrYuO~87by- zvE9cyisp84wxUr;9)&O6E>G56Bf2VyWyL5#EEn%NA}`fJi);hxB(YK#4_N}{m@(}K zqd-zy60tudB2~_qooY4rS?-ecBUw#Un6QZlIA8>>AE~UYW{$SipS!J^a*HWXh~*Td z`=Y33f{Omi$-L3;$SOw+8u_8vK1f@ZgNY^6x8(FKEeo49Y0)%rWKnQnd2Hzf;h9h_ z$3Zd;6CFEpxHDmn5qUWP!ivb4A)Q~;hQXI8$Wtf{%j01)AO}Q3Q3Ef%ca(g*S|@yV zn1{iB{diSBTBXOV^k=i;5v%`m$Z>I_r>h1%UByFDJYxMdDlBUeG_(fVGM`J=kkk`I z0lmyy*lf%5-?3PeM|f?BSfHG5kdycjPF=jnzI1{b0J13R35_(u~Ja z4Jw2Ot30)V-!B1NZX(IN$yy1Xvh5T|I!5YKU9w?GEe@3}T1}&EbzGvFKrgj885kwv z96)QL1zq8cdam+v!XP<`JG@(>3!l_7h?TpyE$`Bu4rBC5UXG?1@^scYGN8ak@`RD1 zPt+cDjW)-WI!|$FxByW8x)>u&f4gJ8=NVz|sOpu-L><=`t49G7F{;#_bJQgDCVDBf zCIgsIvyO{1R0YvZ6nQDL91D>bP@#O0lfs|zq}`O^FtvB!4#{W^%S7)EQtxiYJJnfo zwxhE;=~wVqX(Y}T4G=j>&O$du-=s%pvqpNRet<@@bH?A{l7(|x$U&YRAY3IC&2jWT zvH5G%>Em>#MQu)8+8M@*da?pE^e#0HH(i<)Is%P`2oyqKw<=_4djUlB2zT(2dvObN z@(ENPJeB&eLOr(9Wqpho#v(-Y5fO+|aFYOdu6#BZ#&HU2w9uyPsA|n)N5epzC@L2t zdOi+gC#%|$l6$$xCwGz{({IYBz;&j43OoW2Fp07b&ypz(n@N#!oDE92oPF`9b;2+8 zOos7VBLL0_ikM8U>$MCSyPPc=aJC96jiW|tDn<$sl$qbcu<6lt{FmAmCLtAqJdN%Y zP4m5fj)q>(H=h+WQYX%qauK}885LR-hyt}di3-Yg1(wQdOK~@udS;itG)l|(IGE^u zjnWqA0MwkQ%4B__yDF33-~aOT*NJ4piirvkX(YOpxk`4yQW~@3I9W^gzdhQ}(Gx=@ zwJto9h*L*eNhnmP_(e3oAA5R>XC-pR&sK7&sZY^3hmyNI$93Lb$uV-)kLag_I5q`{ zJgv;u64OeN#M2SXKR{44-ICF z;cT4_HR%s*%pbY9s*?q3JpekI`~qrKfT>HyX?VQkI07kow0>r#VkO1mPm5=fspCti zv~Q+qFAS+U-ebkmkA(PBx)5??l|Uta6~Rq(m!f|O%HW3PXQM>W2^9RC2|x~35N~c# zn>Jpl5@J%n=zQPlfGhYsfdRqmGD?6kRB#<$+!wO&hYiFHGLlFzZu!m=>?%V9B&QWX zBn}{QW0K_pL~zyqneA#=z_Df%eWDdD8X+{%A-^f#M*(NK=5+#2NDav(m4*<6J(QR> zuM)-vN~y|edN4KRG>3A$hZ6G)DcrAmEVKw|$ZkXMMg(b;NE_0XZ0JC#T$&2h=g2M> z6Qj+Qx-(}}291$PoUd*8>-hG06~0tM=eu@E?b@m>vQH{!%5zp}Io5gT20`Je@|6-D zg`9!>kSOG=(uCN=#)%(dFbR^O8ggQUgX=)RMykUx1wdpdb&j<3nZQg>OcnDUwJ}r< z{j_0(9yYx&bZ8)kd^adly~_m+$N=L$za`#Te)R|@pw*QJ!$16%koVJaFYNGqq}kfh8CJuPAIC@gitB%dm&O}NGb=JR!-Cwt(+)zB=^!t z?v7PRXRMQ(WNQRotRl)fQ@%45Rx2y~MuvjR@R7E9p?Dh?2&rL-`yDV-C@oRt&xV%U`vRp^xy<+Z+DOH5rCIIFv# zcx3e2nKPa;qiypetae`U^gzcMs8>dy4~a7{JJD^Wd1vMF!5Ji!I)d#wu`O*(p@aYT ztX%GOPl2qDx^j8gL-Qdk7ZFLGaB-FEc~&lD5qf4o7?qap#9>;w5C$y(C{?jau3QAE z*0B>;)rr3vDq6V+A%2@Kgp6cLpp=yh@uiguQayl}P*Kuh7VaxU2(4TQn^rEAq66}T z#_517_&k9jRxX74AtAu&3awm#s~Q9NO2GqmCEreTT5%>jK%@p~ATnBFTDf@P zV&wvWdQ_{?(3X5j7M!J*ISxeV9C%7swSnX@T_D`n;K70(%t6#nCt3)!+9@c$=QE=%FF z^k*=$#E1=ZPRr?>R-DNiuOi39O}lyte#J+ug2hS}R)dALJcv ztUVLeM~7?vB%72_-u2r-A10evvn#4I;fsSO2S>ItJWq}3)_Qq*3?Ik&?^D z%h{WkS`s@Z#m(E|&{{91H!m^IK#S3Zx38dsd05iiGg*@HXJC}V-lR2cLXL|Ytcm`l z-+aO`67jSG0viG{{5@4X7e~SkMJrWAwHo5Zq`dzOtyluCxw)#Ae01wBH>o0D3OAw} zim4^>*1B>EKHvhCLX$WZV5jjh8NZfi8qRM*(jUWBqAr$|6Whj(k|X$5y>#3wQ9m0O z{qY(7CT1+F)&TNOW0$!b-%a=#|4sJIfmo6W*J-KkEzGU(7i$!koZ^&%}R*t4;tu^+|$Gxp=ybFrVqUW)xH_UqWou`Cv&zZ4|?bkU3Sok(L@(b(<2 z+8fQ<8;k*K8}B?4dnWdS*iU0W0|h>K@m%0jo<1c14Mz1gUWz7FABdm+EJ23K`a3+q zzhN7{!MM@9-8W#F&r4B71Jxvw@y*l*!-`(>(`EgguTfP`Z!b0?4|yOA@!u>NLFxj9 zgG78%WiXhxMJ=z3Tj-S+U!Op#74nv5vC>c~HS`EVZ~x`^mIjXqY>+~p28E-4Mv+SdAm2;xJ3f=lx1 zpK@7~6|LOHn`tPA*du+#@T0IpdTZD0t;_nWP^Kw-{S_}XrqmAnq>=bH{6MI|p#!@3Xg=v>{arI;yCjGHxVC$w@)@u^ z6TQYKU8U2*@Wq(^Bii(OvPt5lzYz$sz~gTBZR0nZqLaeLq?5)JuKp&aSk{$2H->Hx z4`lAk`fT2_DiX+MMLB-ZNAaC-5l`kvp(y;!@e`H zjo*dHMfUwOSe!Ji7b|}{jBfN8fu;C(#)y#&rT^p@X+G(C`d7J^v7%U3LKs9RATPttGO zq(I6W>Qe#B@srrBwF5s8FK)f~CHnR4$!7ZHZ~RQXDkL^Eb+Ptj2mN+)ysIY}$8VG; zu8QMVtQmgoIa*${Q2hjS6u&UOw&lE**3S9G$hUXQS=ZdM5xJh;_T+h8_&M@q4@w}M z)7_a&oQL0yCX%kihV#VlLPzJUkIq@q9i6joU2l7Wez1N{H-5LAez+d6X8g3eQ)b;8 zI=XtIj*KL*)CpuvQ%Y@FT~))SQXOqgE$u0N>Ffe&ytQL}N2+G(pek1}#6Crns3v79 z))lKNmMe(}Q$bh6Vku4GC)#^E9Y}9eS5IMF!bC&O9liKjaZM~nMk`(7cJ}skv-0}7 zcui$(P5FwI%Nr`{ic2aTjx;o?J1vQ1dv}u6HB`hK>dI>4H5JQO#mmd8t6BY}^;OH4 zu88AnN8>BXW0GIh^7@9liUv_gp#grroCUszXBc2Vt$s^wB7Z+{^I$+Wbt zMx?H6#Y2Uo-Y=ErvWBWvWJ2==H;*_) zg+m}kLmtEQg=^N zPg0=9>dWiO8p13KwO;tsFX;t0DMTHfzEwYN5#_D)w6>~?sK3)TOb=9)v z70Mo6*2O~Zapx;)XVi=2sJkRZ3!VN@{6YM6G(Nl4S3`&WnUIcArMJlaj;1j30PXdI| zc$|maD~>Sax|4(y4S^I7fei5yOeX1khI$FQoT`Ij1#$W_KYiSlXSr&FW9fB^_7gG) z;W)&+wx+f$7OPX?RhQ(L>yq?(U6Os#CFSjy^~#qnbG@XdqDsi#pq5DKV?%4G!r_3* z+H^Q8NegGy>C;Tn(BKM)x2v#yti3L&s#C07-3%QSIr1*XkTDq&xQ4{7Az^E=U|OFN z5_I<#D47~oRg?=frB*qWxV9)J`PECSmNz<{;;un9Y>Fp3+Sj$LcSc$oHf8{4m_5#l zIHSFd9BD&mo8w4{j^6g3WY-W+YPh7zp>f+ybS0Zx)I!NsSrPcBslR+_y;?FBoumBP zBaez5HDz_>l~pmeA-Or;y`^n!M{Bnn4W%ngY?|$G@!RW#Ro)P-iBuSDs9U})eU#x< zX;}5uRm(3@%|nfP@uucjk4;uMdXX!~rj1Q4txdGLbjDz*%*r9GT3WHZT=@pg#c@}$ z-ofZ@xyHkxCPloOikdjqYw;>%pjJJWo^hc~!`hC`vR$=&#j-gSH__3hn!u7ewxSNI zPm4%HLtQ$?kU%#-9it>2upk|9PC7t&lp1zKY#qNyqr#wdm%F!gx3sV8fJwQM*T>7s z%PZ>ZSxb9!a(Iit`59id9iVX76x(Nyio)jiqbrjD*=wxOxLxfPRA zC-xl9z^X}|M)5)yD*c%3oAxRVo^`7%yVI#GyGtrrc7u{Es{rfpb3>A>cO#N4y8%g- z-FPI+iyez4gQFE|SF%a1S*a^4-5{R6IiTuB*4=_%3eakh9-*RiLw!YcMR|j=JGtf( z+S0;%)|f&V&k9qP)0E*^UCR12Wq4MWvOY~2o>ir+Pg4d~lwQXt&!SaZtiHCa9DAd( z`U;O_)zo{J(t3icarR&Jl~qes-<4G%x@-1M$P(+)czxCC3am{(thVSwYlm5`dN_NQ ztHUn&g)%%#Jy|YdEs1zjcXvmkrKzW7V@uB#u_9_}Ztha%El2yD3PzI#oq6aEsNhb!{uoWYmP_ zwt21K$>au0^jsV`p_4=-+_TJW}E;0p|yjq9Yw9#*@s+A%Mm#B|5)Kx8W zM0XsZuA;UY-i0vSFq{W?tA}v`Z}l)f;H_46q~={H{fr@A>`Br(gGr|vn`F1wD~BBH zI9*CTx){c-QxS&gRw{yug6a%_#V9UO#1Ptbtw(myElW>v%hFZcvh)?VES<$IOK)+@ zslgG;=tk^-Cn*A*ZNv_7vYs!%j_A`#`2{r(=ALL^h&G82GrA)`j~Tyg~eZ*TQ^)< zJ7m_>+i{P)@{m{Ua9^i@=dt!)taPOFl zGts4*N-;R6k1o^{$2O{}Y`Hsvv|yBjhrYByP4wi4@0LJ)o`l_0We z=JF^+oejDWwUYJ#(zUA2YCRa~T2&{t9t?MJrIk4Jm@-|7SVPlUocA0qO&xsg0*STasqrn3R|@ z3SEzsQ%G7lea=ara!S(h7o?TXNh>c*D=$hbyBlyhXVODo?7j1Z9}~Jq9Yhpw>FH>ajA^|P zudct4t!?UU#uLu&L>jwhEKulh%iCxXo>F^yAZWYjL6$50g=H&ag@x&WA`bw)p~Yny ziB|!FM@c%yf^@(+>41glfJNzm#Zb6jHg0T+x3=J<$lJj}8k7XXL*bO?^Q5~X^;mgr z@49u#E^mi0R$d-yN)p2?2PF+nYglMPj0d*Lbsmvx>*~wBs-&{FVJa7=FqQJhyPMV} znHcb{%^rTF*P@~nf}*&4jG5XAp@?K+Lx+b!Z4DkIr$|JABF{=mG}_bA+ll8oi6(4C z-Oepd?VK3oVh{7W#&~5}^->Q-*{ZUtY7Yi1$Hfq?0togWmom~`TEA!vJ+$CnQB&J+ z=}@fhG?4Nm*FG`b#HpWYc;eh}om4Y^Pt&Wt!Zbfgv|csT#b8j0rgpZjwL={0Gn!WE zM>ySv>17B&*U~Y)tH%|qNRjJ0y4sq0QjsZY#N+GRdlT{DjJrq}w=|BlxX{yq^G}Au zzV$sD(t09UURao13Q$K_N(HpSkcg% zJZ1IK;<@t|dkMQ*x)U4cV4UXkbab>rnJw+})+ZA4T3gmqBA@Wg6Ik=`u1{*K!x2+R zYn}tzIqk_!;4%jrnDxnG$zp!-oZj}U+B-J2&q=nfQ;on*z?tTqI5vFE&B^vSrXmNV z<|Ofp+ITIQK7M*@mfnVCzrc-NRu)i#(B;p=3w0Cd1wa2HR4u{fuf?r_GL5)xpiC=n zow%6)5bm4($lQ$E9?H;dA7$>sts1YCGJh1moiPoUpMEub17+w}!EdGv{k->!l%Zeg z_7NHVLPkK4(NBZlOLbI~phGAgB~SrCr2>n7$6H81McE$xoI73q0^DX(rVzIh$}GZd z2`=WZ#J!q84Y)N@W({twlpFFAhQJ$$lS5A>(Fon>g;ux9!ErKFPcNDdgF|3?uawabP&*?_Z;aBfD*8Kd>!HkM z+-{)E9^CffLf1h?h<>`!JEeZQ(aWTMy3t#te!9_Xpu@CGV0sI4m?}r`kCGuC^oFLN zZuCC?Yd+r7n@9t^FX%BKL=DE2z&G?=oc1S3tbMEfw?MftdPw9QfRPFrZ zP&zzEEl(UEYP!IdcZ8W%m|TD;xOS?Ra~4q0$-t6#%3d9cfc z5j$ezk}}Z~lE4?_<^g6`Bin$>C_G?O`T#Dzmf4L+*C;rp*W$8Dcd!WuY|8GS;ssQc zs68n2OKk$*FS5)gs!m*HAbP;2%vP#c&1?$Jg}9(HN_J3otxXBVW~HQBWNE>xbCq zn)3qN*=9EV0%(9r*D8pQAPjL?e!$krHac0wR@Yct+4AWJoLU}tvuoX~OU^x653UE= z=t@Ak)D3YD+_(7%8(vWSxVlT>$`7#1)zhytqdQzuNw4t0U73x&9^v@q9voMiR6Z^( zU*kbf$-5H!f`i$f{`!Kssl^eg#gVDSNNQ17tJbPAUbiS3qIFXVe*YDNbuALIGgAKs zfO~NHO|q{8Hs!Cyg|8!Etk0(GR$Q=wN02-rYJk{hQ}#Jggyr_xlzmAQ@kW22P1)B( z5kKbDXH)jLDB@eV`)tZGG+>$dlZ!r^viMRg6!8}veKuwDL@`Q5%HkV7P&aKt;;tiDD}iDH|0<{GC@Hvv)wr`*86qY)WYOC}Q>@r7B;r zj6xh`_fXXpGB41OCz87any{@y$bOU1z(MB~2P$gjw8oqus4gVtw0PW%3*Je|9$a!_ zpxi!LLCIaZ!gUXiLrW4UMtssMm^}#UXK+zuq4YUiu<{p?ydtv9rUnk-@|z?fB+~$2 z&>}&p?h#xfpz4Az=%YGiv36eV1jH8l2Van zHtkau;X?0dr*ai#SKG8#xlLwixAF#M8*SRJ(Cz_QBB#v<`TL`2gc|Y%#Rx@#OkBlS z+IkBlOL`w9OL{XTOSJf<7Gz0pi$sapdT-|n;LEYi=1t|po4*hYKL9{)g?F-o4a%&pf$vM_RaxPV1T0cF?N zln8MUK!$M*$nHQXG{_Ih?&L3XvgQu%E)<0H9yE7Og~XZ|NNYSJME%lLP}Q(mmt_jJ zXO)8`*)Wvm7LRag1(>Qs;da>E;(@17r1Zbhp*6O6TK0mugM#68TEc)1oA-2lcViHa8Bu-r}Y%Ly8?tgJ6-S? zv2{JGoaPxBN!{ zJ)vX=E@Ax-Aah6;C{s$`FhEX1nHVmLbV$Cb;goqs6yST5p)(jV(;iUw;etC*LQRnK zPz!HKFeNsyjV;`sfOHX2HVXEF3DoZcC`W*qO(#8%;)2YSJV)6^`!`6aH#A>q46>pp z0DGR`m%1q4koJF@^U#?WqlVD}5<2Hn&OmMn&EvWz zF7vslrtVQnXtX&c9QyrXf>T;b@GIJ?Of`|`Mf}o;k6cHZ`YQUGEAi)TVsQ8kAiXajpM9Cni zgy8sn-CfnSyC>@VHSBDEUDaLPUA^5iJzcfH-x>NM)b+T*BYk)^aNV>gLwupZI}sl; z__aR#0q{yg|EdrF06b&pe`q}P_g@A(_CFuYw7zAv09Z#M=zJp6Q3!k)aMDj%EeB4c zu&izbPNT4_ZU@#S4*AyrC!LqoMqu0>#!yycz-bhg)f8|Vg=IAZj2T(^p9D^$u&j0g zr%_l|F9D}fSXOTW&zJ0|&%3~98N45uua!b5tAoJ!(|E;S1E=vc0hS_1^}z`hOJI>Yuy4R{y(zIfsT&RxbkE{@e?Eg^)2` z4glY8@FC#G45se*rZt3`I|jN0&gXOUOYiuan_uSUm$~^xW{7{EUrt~?;XdoVScKqz z&94>2A=KQNSZtmH&*4a478ai_?uo%&ccZ`;gWd*~{KO&DTod#yn2@;!)WE^{jOB$; zb5pa>%_Fnte^D2-P`~4SnC~>DyvIWQJe->!=kCAe?!S81$GQ8j|9AiO4CWJV?bFAe z%x}ap-@FR?8MwjZJs#(`w}H8>elxJ}6NgZ9n?e5*^C8zL@_z)(EsiUJg`YTtFyC>{ z!(wg>SS1!1;Lcxf_`6dk1&{dMG13hWU`k<8)jfmLrYy-GSaE3-j*<#@ZBd z-F*nWBt8Hz|DitqpMlxFRcIQ^`xW>w`h)sdFt6tR=)>I6XMY}!{ENd{6y=rGGGOY1 zB3a(ez+A65eu&p0PJMDcBz_3^B;pL*t$7@{j{0y(qx`3Usn3nXpuYr+(B*~g-N*bF z39h??z+5kd{}AG-{qx*bT`PmUBcLO6d0~0K0dqYa2c|s!Z-(v9MZkO>xhvAo1(v}6 zZ2)sTdHJaS2yn`OiW?35UFISGh8|pZoBQ~A-Ugw|3+uTGz7n=Ryp{GDKFynbMhihn zYfs}y91S@QAKeN~z`V03ar1P0M{qVI5+nf*lcw8nbTKYvDyyex>b4fFF2?eFAYpd4 z!D3;~NQ)30f!C!0Wb6&W!^DWzpah!M-POCr97Y}GcUp#g{vz+b^d~8@_*Jx~o##Ui_u409a{JKe(huu%i9v0)L4;XT2P_o6k6$Asku@!P0Lc6 z=E$^_nKm-hHfGwyOk0?1-dywMnm5;rMMb0iji+_Yu^a)N54POEcEcy@Y;m zI?2UTW4U$s)abQC!g1hKjyEFmrfSjp1IFOUNpzx_fBUstORzlON&O{fqi`BT<0yyM(?V>~?Ff4JE?*@%a3=dQJ8QRQ{NgIckG)yEPu798lHFjIO6UOo zazkq+J1Y{Lzc!m|@9`Y~OKmj2*)+g%C$GEB=IA=wU6P%vgBfvKTJS364Uw$X3=>0r zv_L30vau|f`NMlKg@*#-!0ORUv*kU%!F5is0*$SvhGmfX(I9KAih^3aDA#}owIUnC zchL#oTO?MrW(wEnOnVWHaOGV@gY|LviA+t=y;H*hoA45Y@k7cDS^pMD0PFp~+= z0hf}-#yYJ>1i|2`CGm+)Hl+YHk@U{PlQg%~!kGtcR61<50zKTD{g*5E?QuJp-iP2O zJ3VWp_b8V{KE8J${dsKI^T4-+dW4c)knIX`WO1?}f-G zRoe49o}(}IEdIhW_<19K`$Syc|J1n~qH>rfvi8Nely~$q=bkYABIO-0d6<9l3+H~B z&sYdj9=}a?xBh$QZe7UdD7;ewk?mkMc9p4)d%kq;V-BDgq&)5sSibcqocl(Y@GX3Q E1J{YF761SM literal 0 HcmV?d00001 diff --git a/neorv32/sw/example/hello_world/neorv32_exe.bin b/neorv32/sw/example/hello_world/neorv32_exe.bin new file mode 100644 index 0000000000000000000000000000000000000000..64c762c7be00d9eb747db59eb4af5f0958580ddf GIT binary patch literal 6180 zcma)AeQ;FQbwBTGAG;`)=#!9~I_9A#giwSmj9fJy<7kaOP=Y|{!(vDov3k1_J6`RI z-4%jP8n3iktx4?!@`yEcGKChmWHKEGkD4g$AML6}$aU2Tp78|#;Tb|iEo{?B)Itlg zyxZS>`}6_BbhHhtjp(d&bLn>d+ogFoAqHh~iMRDbXDOI9KFIRU2&EGUrqz>C!BnR@k{` zDG|oG-BpO2BGulXrKTsN>Nw`?EF9O(?#Xdqz>cUD9T2rbm#C@7M6G;)*UJ8e*Gk{! zwUTjOD}JBXif-^);T>L6IYBGmz-eXUoL2fir)E$w@+USo`)>KO@BAJgnJe0heSf$vY4FNn1PMZ zR>Z4aCSyeAjB7Hd*y`!?;+zU&p^o(L+sH^(kzqsphOQwdTM^HVl>th~85=C`*T2Zj z<1D|{e3Ic|(elFu=FZ)>^fAAF&(gI6hi3&I#oMT(sQD2~Tlc^eN?<79B1p#As@yXqZ8w~|ACyXF^;qK9mwNY-@#c^TFGWku}j2orxl7V$e4c~})Tnql4g4OP&aF%%ewYM?@uFMf$5ZpETnA;LWQUo3XFLhgXS-G&^^FPLYOWtdE*CD>2@=SwmJy z|G|7#fFgo1DW}=p6nT!Ok0h5eHYOfNeR`C;^GyGC#s;}VTXHI~{!G6jvcADv{&0ut z&WujNmC@<=#JZo#`aoz)1+X63Xz|uTzazRelGLxt1x7NT^kI2f_5}0~TmBBOp1I?u zdFG*c6JypH?a0F+>wbq;bGp-4;-w;K*m<>CSZ=oy_+&? zX+7}x-RJ{G3(&*aqlZF^wtEU!Lb7Uf;6f^(`wu??ZPX zV?)Y^ZpyM(0NY<${I9|Ga*m#a{w)@dot_`F-m~<7;o6YrIQ{n*c~aEh zq=e_QXXiTZPZyj`;XLS91J*mU@;=-hWABIUcd`+S^(zvG8s6Z59|!z6GpE5)(M`auQ5*Yp#PCB^m zyZ0i7bRKa<-=XTdozl-KS})P4;~N~~X^};I!<>gK;WSPmZt{84&h+c|mkb+XFP~=L z4?=X}b;uBRIhj`S@wT?hoc_iHT^PcT3E<_f90XeN9CZ-MBFL9pJ9J{{~Pu^Ghli7L~ zz6s-UN~62LR31(L=l zk|~FcnmV_LxU77W8Mc|UvGCh${SCyn?HSxPpV&RnGZvM$Jk~qXRWf3_l@>>nGc^5E z+^z8ZqKPH;UC8dBvUd=7Krc`jX6)xFT9c`H{jbe@tkgR4vEo~Qy$Be+iuVY<7@qJg zgdVnp94%_4cSJ*Wig?rUT?l*@?+~;ir=S%c5H!^VydDEy2k>2ZhQ0Clh8*A-UbVuv z@qIZi;yY5*N^Xc+87Dz5Y3dzdw~03#z^vJn+Kalg-4FAU%tQMaAt4EeNeI(I%5-O7>hCU6$7M=WoUeh{&ft^&`I zYV^xnhJ<`!-|XD=%L?WJywf_lB|R%FPv;JOVebFC)&21Eu`yJ{a`(9@n^-IBn8ur7 z`ZVprr+~f8FUvX8XMDz6J`eo(wY)~4!yEqsb z9{l%jmd6GMcS`50_4-RRDqX4`A7lRx4|=}IxPybkgZiXIdV@rVtC%j6PJc&AG3f#5 zT!IcdN&PSBwZ9x)H$iXDyjHKzPl`tWGgKP?r_YpychjS`kE_vdc>UdLwzr1=Ij$d@ z{v|mkUC2(R zrr)@g8jM7*Fpkk*MHjNKrDD?i*B|EGPrJqjBhC$lSMW~q(F+nq|F)K*zlf3WiwTOp zC2_)AwVZGv#tA>0;LaXE7ve|dkE^xv{v}OqM-?VfeKUB8ucft;$+TA5ozlwCoyxDJ z@K-56T|dA0|GbGOpL@E-iQ7DIkNB=ql(V&@IqBhy?sm1v-j3qXNu})~p%tyAQ2jd1%da&VqLy^beq4gA$;3L03T^ zf_?|O1)2lh1K}BhpzRof>>TPq)jbdRJ}H3qg*iD;Vfe+qU-v+#YteN6Q;mcxTj zLY`+Co7C^LHZ<1mZ)@$SZEily)-|;+HtepomRL76Hd%`@wP9aN>n>8Cdx~Yr#8sK< ztf^_w}6(|1Ei4S9R|e5UfVD*MrHUqF9J4fT3F?hHp& zxAdud{+w;t@86D~_Ns1AXJ2=>CkO@F-4_ZYF%31#+NxS{V#^7~9#;^tTf?>ZFM9lL zoO7+j)OQA9I_%NIeJoezK9k?j)9dpv6l8A1B#cjL$#Gh{$8t}><2#?;?(>GjJ`eR} zaz>7Z7N^RR>kpwELZ&Lq-65|Y3aaMl4`Xu3d$hO5Tz7f=y2pzt9qK`V7_ZCgKf%Tm zdRHID7B>usyTR>{%Y=lA-%)j%(c{Hl*SXG?2GW7}S+k~weL(@@t6{idTQL*;6t zr>C5yFI>)oi64R;^mM~SNoZRc3w`Iwu!ohd-`fLZb_ZR4BrNC+pDa`N*VR4My1!Xv zHK7LlzLVJR4}?|ka?DWZjy+9{NPM3k(9WD{#gCrht~m0BF;)A$W`Q}DL4y+pf+tsC z^Fja)^tC9%!A&O7aj(mq`p_3VK?j*7+mqQ}Qf=nVY^G*!DpxyQp_Pu1$tpnBzz%BG zU}J5gl~fj(lb+COIG|VK3cDV%U?FUcjhY8-tl0K$*U=tO!3^=1ZH&v&HXVgLEF2l1 ztR`4&deJh#Up11MT2PWO#ARxTG$JN{GahrElOZGOmA*H=Omu})%?G5<6`bj3N zJrPC}`a)>1xO5j3G9XytqgK2wzaOP-Hk(dwH+B(a;O(I06g7E*-rl30pvxCp$&*^& z)UY4gY9s4U4pQ5k&8-#IxC3KLQv)-mwzg-+ruIg2-Con0;WzKAXZv^8wV3Ocy$$=m zjeY1jduwYLi`0W?j!dV%skN~gvV#pxrtbcIwe1bf@QOaw?rh%Iu&;iz+S1;^>ae8C z<6=b~LQszNAzton>hX}e=V7VqxXbHvb^1Kp*t^x^3hPHVJ1iT!Of0D-dZ4G*>qAny ze6H@$Hiu5gPw%XJ=1;2r^c&6>cGuL_vGZF$q2kKqk(Zb5#U~Rl z-xH!s>8ZH{Wlc>b5?R^rWYg4#Y?VlGSwi&HO~08++`IRiM8bA2TlnFosVfPpn2NXx zvIODPO;hhAs9-8<=aH;zA)DgkFE3@iDrcqd3GXbWmz`mRKfKi|=W%uFZci7Jukwig E7aSZNaR2}S literal 0 HcmV?d00001 diff --git a/neorv32/sw/image_gen/image_gen b/neorv32/sw/image_gen/image_gen index 6abcd9bf3218f096d30d10dbf2821c9e95d55c43..6387d77e05c20cb24a370fc72d4f1e08b8069564 100755 GIT binary patch delta 285 zcmexykg?+s;{*-Hj*XiB%p%hy-em|bzqo5-@({&=??K)@Eem@M2|V<1kyU!WgQ zl%JJa5+Cdu;u#<08SJbZrk|IZUsPsnq+eXFpIVWaTac5gpOR5jSzMBzm%3TR=NRMW r2fjZ98EYrsj5lXYoh+DO&v;{UAdq}9d18V+7f%?((kjNux5DKBMX^QT delta 267 zcmeA;#Q5VN;{*-HijA87%p%7Q>+su57LoHt~}-_b`9dVbtARXHd*v z@6W)%kP5^dK)ex%ZvinAqdXHozcY}<2qvC`#6ExsW=0^v4I-p~1hX=bV9^2*h9JTU zM7RM7RzDCC3L@e_1gjDQCsU>{&;%f0)@Eem@M2|V<1kVuC&6 aiOGpT^2X$a3HDrnLLpXEF;0FJE(ZXlC_hdB diff --git a/neorv32/sw/lib/source/neorv32_cfs.c.o b/neorv32/sw/lib/source/neorv32_cfs.c.o index a248829efa9238ff7e92bea04098af7b83654899..617d7e3a9ef6b37ed6320e78fbc836723f0de771 100644 GIT binary patch delta 366 zcmZ4EaKmAO0^@;=icIXP`WgATsrtpKC7Jpzsm0kP`33p`Mfq8&CGo+oA)fI;p25z# zVUz3GML9BxDvL|<^HL`-WEY=o$u1>Dr25SZ*|)RRXE4A3Kf_-p28PuzE(3&r2#x;{ zjW5Fp6M(2ULE}52@dHr#LJWzh0u0%cU-J12I>Sr_IzxpS$l2`4@6E?}X7W_oa>j(o zymJ1G6DG$3$rY2=$^|o)PG*!>W}GouRbHKO%Vb|5xny!Fki0T^E|B~%`6!rtE3eLa NMVf)(o5KZ?fxfX3%wgb6_Oi=pvVQ29a( zrl&h!L=1dNhS7+>)TnQvACNBh%D}W-xlkdojFdmuw5y(F>SyBNc>Zl+N0MkuFaR2}S diff --git a/neorv32/sw/lib/source/neorv32_cpu.c.o b/neorv32/sw/lib/source/neorv32_cpu.c.o index d90098bdd495d0f0c6f71caf2f234155b9893658..7ab7d44f761596337f189dedbf50cdd9f8c27c13 100644 GIT binary patch delta 488 zcmeyek#)f))(HxX6&n@f!=+qOi?d7e3-kku^0QJ);)7j7JmZ5rgPnE5CfA3H>SYvF z7MJAbr84Mef_hK0n;+sKU{qC)9~FI^%)E>pvmx;iGg7?jLQJwA422b zLgRBX!UQ1dP0;vGX#4)({}IV|plvdNF+|i25`AD~Q@K-8B?QU70>Ll(C#i z;K6jhFvc>*lIg8sK+!MLuY#xz(^bQP)P(7!;f%qIlc%2yXH;f5M^)!qask7zLO#e5Tt)FsiY>0E#P2ei$h{y#^%A5jDLJD9j|Wd-^sY&Db#gZUmz^ E09*@w#Q*>R delta 478 zcmZ3miS^4y)(HxXJ{uL|!~OD7^NY%ijr5Dl^;0Vna|?1(^;1%F^W&3pGV`+Ib5c_n z^fU5vQ}v5eOEUEdDxT~gF1}eXVz)+p5CaToGW=y?V5o<286f;=X#90({JUs;4n~*& zM86msUj>zK#9)Lfz~GF=_eJA}Z?0{;e1UPw^uQp-a>fPIPlBj5(;b5u{h6NJnLa6) z(T`DQ`a>X>v0=Jl2$1TT-Uy=hOuq=CE=*Sp1yU{33qjP1=?6m@%bA|spKcY#SjOlv zePb9<^u%<=aIl&{5S220VK`$jWBT;3;f%_R+owxLFsd_MiJ0yb!KlXc$9sAfkOrDQ f5hQ#ka{4Zi@S9!J9|38J5I;tS@4#S%0hnh1T}*cL diff --git a/neorv32/sw/lib/source/neorv32_cpu_cfu.c.o b/neorv32/sw/lib/source/neorv32_cpu_cfu.c.o index 163e2c5d04903455fc2889c56f0c0c783e4e3965..f8309f279a8b0dc85df39f45c70f6c5f04c54688 100644 GIT binary patch delta 436 zcmcaGm+{YB#t8~cBHkO7j3k`&GxBp&^@~$WGWA_ji?d7e3-kku^0QJ);)7j7JmZ5r zgPnE5^z%~li^`0R^oz^&Q!5g43vyERQ!YGkcBG1P>sfKom{ElFX#-j1?VhI zpfedZ-&Ek&W~`X}(z~3|V{)R8KV!n=jX-k3WJX_q#ubwTeS;bMColC?W_&#Pq^~*? vgVf|tzG{pQCQJIMGbu<-cJfnWbeNp!rw$aF=%+3r;Kjhe1h<-j0mcLXZM<10 delta 392 zcmew}m+`_}#t8~cFT6G?8A(i@E@9%AmzrNxW^ANiT&|y5k(gVMld7MRnwuY=l#`j4 z9iNk$!l0j#pPQ;*oLZ8pPf+n@CP^mt`Y;9<5M*FvW?*QBaTy@|S!n!CX#9t0d>%%a z07SnW8s7kwuf$-7D!|~6#*du5RKZ^mW+(&DS(-p+YHns#^KXs-rl9Tg*9FfUW X{nUX%d;QcUo_I1aFu|>6V1O|JLeNQU diff --git a/neorv32/sw/lib/source/neorv32_gpio.c.o b/neorv32/sw/lib/source/neorv32_gpio.c.o index 7ee44ac1323ec9b04ec3327505978f6f6f16f710..c47847fa1ad1dbb895c54e12812fa79c8dce60f7 100644 GIT binary patch delta 441 zcmX@o&iJ97ae@M4#YROoekc8m{M=Oi;?$B%eV5eY?2`Nf{eYtUtkjbDVAl}O_#n?< zXWcOUywv=nGGinC;&T1eip1Q4oK*dkjH1folKi~X$!h#Y=49&Kyo_Ipw?2~r2BaDO zF)=W#fpHli{KIJcPiTBuMwkFZy(t>s1&tq!$`@itK^0)gn;aFqewqB%-k*sJ-daF?ptwGUNQod!5u-_XsdBG)!i+7oPmbNq{kDGOx2btBoK7gUn<@LE*_h a&H{`PlVhFLnKV=hgZ?wP#SSsVZzk8n`{ delta 400 zcmey+&UmDqae@M)&qhTy{>fSVhJJae`9)>MM*79&`l%I(xdl0?`YEZo`SD3PnR(gq zIjJcO`WgATsrtpKC7JpJ6>q-8FU4CQ%m4$@4F8xI7#d()1_*yT8vhs?|05colMyBW z(Jz6%Eg|1%n2ju9pR zQE!IEcSGZcq4I?o(oqE%3ODzPXG=5AnEcbIobkovLSuhMkI4s({h2tlCJUMPF*Zz2 zGzn&`p1jpWnQ_kKKvUt#Z%jlOcT8q9RcBJspR8r7#w5WvImlFv(PVO^sXAlGJ<^oDnGov9il$K<6z8I8$jP1RXn=rb_nP7X8{1^}duLy-Ug diff --git a/neorv32/sw/lib/source/neorv32_mtime.c.o b/neorv32/sw/lib/source/neorv32_mtime.c.o index 83955150780dfd10f25265693df8598b0e54ebe7..d93e97f33898af91c413785e40fa3d17fe082deb 100644 GIT binary patch delta 395 zcmZ3Idm(p%0%O5O#Z^4@E~&-YCHV#V0Y&*)sU`8jt|6ZBL7u_Rx?%cxsrf}^#zy+Z z<@%`=iMa(isro4yMU}-R`FW`f`WgATsrtpKC7Jr<8lk7BueVu__ZnAy76S~3F#Km? zU|0*|GC=r8(D4tG`<@eKMa*G#E_0Ez)-lkRpPl6iLN&%0lRXR7nONi|7Zs{8DacKp1r%E_`Cy^C#E(n{1}7$%XBZe@ GE&>3aRaAVlzKp1FeJBGA z$T2W5GcYv4xC{{fOf>#RH2woLJ~tyw0HR+8jjxN!7heSJno z-OZ|o_BKo(JSL~*&SQGxIhieQ9;3kIrFruiWhT4kmoqv{-ka~wSTLElz@Otz_%w)epHO4)YOAFPRZpcoa2Ne4vJNXz;tYq@r QLUq!&W z@QP#Btlk=Ly0B0d@Z~y=R delta 381 zcmdnc%GA=zG(mx}XQQH;%;Y2)5#H3?{P?7t%)IRQoYa)bbuy+{Ma4HW%H9^Q4`YA< zZ3aeW28L!BmjS|`g~mUL#{YuG=V62iK=jL@@eNSk zOS>>`*}Sv5pOJCNWY5~;jC&>v*7-9EOil!nDU&w>$pw=c>;0MT1WpdBFJqLLe6qfr z(Ppw^gFmCmSYvF z7MJAbr84Mef_hK4%IT*Ur1u}Gof9)^%)E>AjR;PiGg7?jLQJwA421Q zMB~da!UQ1dP0;vGX#4s=zM0JH?a%mQax9SanY`A! zoQcJ9vYL+{6N}B{G9blcJNcN8ALEwEqQ1e5rIRy#l^Kss?)6n?eIm@jFk^C~r|{%6 wz5YD5?qWF{Ai2v3&r6JVS%S=UdU(PDC_pE~OacLs*#lNo)40VXJ3Qvd(} delta 372 zcmX>wmvPEm#t90HE*ljSg#7YS^NY%ijr5Dl^;0Vna|?1(^;1%F^W&3pGV`+Ib5c_n z^fU5vQ}v5eOEUEdDxMr5B)(Zlco%Pd5CaTIG5lp>V5o<286f;=X#AsS{10e+4n~*& zM86msUj>yf#9)dlz+k^QR+CR=*@GoG2;2_zLJ-}EkLx?wRn$j6WA zhV|q{K{EWj>!*!q{(DXKXt}{$%a5OXL2Nv W51w3@{%900`BE A-2eap delta 533 zcmex!nd8D`jtL5k5gQfzdj0ZJ^NY%ijr5Dl^;0Vna|?1(^;1%F^W&3pGV`+Ib5c_n z^fU5vQ}v5eOEUEdDxO@|E56yGFUF%jhyezy83dRa80ukM1_*x|8h;%c|1KJzgApbG z(JzL^S3%_mF&Lo=FgT;}ebM;gX#7+(eo1rg_3gRW8Q*&_`b>{iVDe`?GkvWBQ#s>~ z>8grAUdZ%PMIdj*^tT|&VtT3)P;klgtsu%|I;%2}DwrM$q?qm`O<$(W4E9FDnQC(`coC4nk~~!Rhi0}9@I=F(`qwx8n+B5_qs(+!O(u0lm+7t`YR&Xq5OrqyR3OE4 XM|t`_O(r$gIZ{jvJEuFUGYJC#>DG0! diff --git a/neorv32/sw/lib/source/neorv32_slink.c.o b/neorv32/sw/lib/source/neorv32_slink.c.o index c36d95fe11e663c09dce9724464bc23fdb25b711..3569c37c0643947607aaea3a2cc063b2bc8817a2 100644 GIT binary patch delta 416 zcmeycfpN}8#t90He>N)eimK{obs;CXP4v`=m!+#XQh_J2fK!N#s_%@ zJL`r`ju#c>$SA5TF3HbJoxDy|e6owEj1-aTH?I>_;;+wQfB_kX|4a-FYhhdl2>%Eg z|1%n2ju9pRQE!IEcSGZcq4I?o(oqE%3O6UJ>N5&D!;A&G!-E;f*}PC~xh~_D$(|wp zj87)d4Dn}Du$ufT#E(&DvSp}0qs8RT&~nBTlNrPO88apa0?8?p7Xrza$sfal8LKC| zhAT6!oSYf14pcJ{NR~|A2_$PKKLnC9CUZupGiex3Hi}S_PzhvUxDIy{0|Sf+0658D A`Tzg` delta 389 zcmbQUk@3R@#t90H7d9&LicT&RHS)_#%`Yl5HqtLH*H5iT%q_@C)lW&y&5uvY$;``+ z&q+;T(9g)vP1P?>Ey>g;sCaULsQBiOqTKxTAq+4e!|$(u z{F%O3P8JLGV`P||8tTu;GkI%hIpdtkx?%o|Hj`_CWX9yPKr&*oY{I{s>@TxIXz|s4xK9uwU)~ diff --git a/neorv32/sw/lib/source/neorv32_spi.c.o b/neorv32/sw/lib/source/neorv32_spi.c.o index 9c37dc50d10cc1e98bf25cf5a6ff76019ac9120f..cdb20b85f9a7f534ef6a776f82fa9894ad7901f4 100644 GIT binary patch delta 389 zcmca|gt6f$;{*l9jE#y$Vp1-t#n~nK1^NL+`B|wY@xiVkp7BAR!Ops2lk3Gq^)iYo zi%atJQW^9!@^e%5i&IN7_3>-rfN9yhP%K%nK7#=U?h~NmoZ+M z{50O5QD?Ggfz~#9)dlz+jKY z_uPC@W4{Sw$>xc%;*3mh>?W^@d&l&{Zt|@7GR75?1rz)k873zJ$vu-dCipYm@t(|- z7{nMdIW$q3v3zo6qB`T0$qRvG$K;bhvSji{AUR>OWRg0g!DPoIb%`I53=B>{f5QOG Fb^x`UOs)U` diff --git a/neorv32/sw/lib/source/neorv32_trng.c.o b/neorv32/sw/lib/source/neorv32_trng.c.o index b545769284a75ce51913d6f331b87d4cb8ec8de8..0d471416b67b430e6401d83112ff2d84f827e2f1 100644 GIT binary patch delta 365 zcmZ2da-n2`0%OHSMLu3Bm(=3ylKcYwfTH}Y)ROpM*AUP6AkScD-LT1xyrLW#MU}-R z`FW|619*){P%FN97w;vm`b-8G5NG(u#K5oy#$|x;52Nuvq48xIVFD2Krf7T@G=4BD zUx*COkRDQh@QqgrrW$-SYVR9gl447OQrY<4j$H0&X^fwH^Tmt}{+FN4) delta 342 zcmaE|mT|{g#t90H0UH(NgeD&n65&nF&5uvY$;``+&q+<0d{0Pxa<7mSrp)GhLTv)| zK@2dU$nckmfuSD8Wq|Ofq4AHR@jsyPIT&FA5dC6kd=*r_5Q8bI0E7MJugdm}jJlgm zRn2u7eJ1Ayl`}?6ej4P@m@?Tk*q^ara%*ro$(u{Fz?ZPZkUH zV^o-&8XC;#IeBNOGGp!JyP@i=bA%Zf-b^+O6rL;-CIB=AixJYTZh*sW_4DEmx zF~z}QV}F2uVdY4%lifVu)(-pLJeOCmckj>p`Hd*zJBkA>Iq!A*wz__QXdxV2Y8zb% z&ilhY_khRY8+W+H)`=+DYn6eO^-wst=#ReJI{wksB#rinVRMcZpPi}87)unD75q)+ zIhi+5F(~vqWxgQujZ*Jmd!+$8k$GI^x5?!#R|Sd5^lsN3og?2xE*gYG&#{eTJ+0Cy zstgpP58NAg_zg0WOHigTZln>RCWT9h+7xn}q=%)OmjlRl^YRPYd-%d5t|h!c*Gn;> zJcHL>exk}iZQ`uYU{+#F1`j4WBQM6x{5F9L332?G`CtNveSE@;N(&F4G07olzi?yW zp9YY(kei+`Y^6q;!m^c`X${8`JV=lN+;AJHPICeBJWINMkLK2 DRnLXy delta 551 zcmaitJ4ixd7>57v#36!$sG%VXC9DR+D5BKkB?TQU&*0J$QFMmLOLWt~%7QLXiQw=~ z=F-p>;?fcZR$FaFLp3!tR1`!s6!ag&p|kWa56{c{e*eLi__Zmf^`y@mQeHzuiOw$e z_L%2lW+grzo(U=DNhKT|n+Q!srpH3cWJdNiWq!QP|2GS=FKby1vdZ(ZhAPIA6=h|I z#xFGfuJIbG1m(R`G%P0Li4of{GEG4^WI| zV7E|?j&X0{;TgKDBnx*%tXL@^JQZ=J@}r1W8wIEqVH+YyQF1^97nKXU1Po}Rwm=2K!yLiHI8Fd)tF zmx+O4HH^yu;U7Zde?;TUFv0{N>P^u2PH6l9RK5^HBB}sG_U2kmXJf`ClMlxDGoF|% z82g^_!DPlbf5sn^1LMjWQzoATvTG(w#``m_n4AeDGbZnh4`wW#%$uOhxM#9qf;!`k q$&o;^VR9po%$U3qNVZJAn4r!iVmkR(f|>+F6a&LcxUCEfFeU)SwrPa` delta 335 zcmaEIh;hdu#t90H2R15(iA|m)CL)oVn;)N)lbM$tpOc!xpr4VSo2p-&T9P?=qL?Tz zisa^xV(LQmK@2b;&G46rfuSD8Wq|Ofq4AHR@jsyPIT&FA5dC6kd=*r_5Q8bI0E7MJ zm731Rj1`mL#`rVNnQR;To^i`$-8g^7Gm~rM${8&te+9AwCcDP_GuBL=3M6eNKaCG& z^qg#&pv*X7a%_S+>l$$eh6$4w#t2WIlOVttGI=df%tnHNL1uCwQ0xs*EMoHC1a+nt UCX>|?)mX1YGBCWHd@)WK0C=QR6aWAK diff --git a/neorv32/sw/lib/source/neorv32_xirq.c.o b/neorv32/sw/lib/source/neorv32_xirq.c.o index 7ff8a2e058a1df03ed71b09ec39628e7e10e25e3..2eb234f76b82c33098916e4608b07dcb6ee55671 100644 GIT binary patch delta 455 zcmaFylxfN{rU?p6JZm;8X&X7|XXNLm>KCV$Wa_)57H5~_7w88RF1^97nKVp|zK$Ss=nSr4J#$|x;r=#)Lqw(*d z@i`e`0ucQYXnb{4{^VkJK}9!IF@^v%e$?ix?){8{FoPL@PMZsKs@mpK&-`RYg~?CX z%x4Uk+`4u?BguxA#WSG2k zgFj=)$QR5@32_GI`x5HO48EuWnLj^qBm2lQ;m#(r_UF diff --git a/neorv32/sw/lib/source/syscalls.c.o b/neorv32/sw/lib/source/syscalls.c.o index 325f9515fc40eaa19e5418a3b77c83a5331d728a..219ed56580d4d8b63877c3e554615096cb09ff30 100644 GIT binary patch delta 746 zcmdn+nd#1FrU?p+IU5xdRGsuQ@^e%5i&IN7^<7envrF;|^aG0WvrD-v@Ha#HnEGKwmTOY-wlCpW0-nUk+qe6z4xplp3A0}S{v z{9U+S(1*sKj>cbr#$S!b-;T!L zkH$ZS!Z&7MIENy@z;F$Xe-DlScJovhd1gUpn7@Gm6~PSTY(DBo0FnK1BJTv(vko+^*aziYMGP@H2=l7S%`%;BrlN~eFZCVcM-l$ zf?%Zu5%QYk)#PQcs8|JY=#WB(x;Z#1B9vmlE0Q6%^oB3@`EmEVKfkX<=~}Gx@@Tm) zK7hl4K|8B*@8*Y|TeGgE-oJHbGRjISCl^a(rnBi-MkUEg)t+X_V6 zhAW2m{r#~=9o?;GlK!YS9Yl})tMLUTex;Eu+B}BcqU1cfJjtIB^`vNI;CVJbK+|)1 z7;|4FC-Gh920|stKcGr36VCMf83xOe<5(=ayn-8@dvGdJTxRjDGS9tu;k*0_MPKp~ zn*On9V4)V-C?BzANL=&VX{F@x7tTQ~V!m3)eus;iH4YEoBrb*`c-kbH<} wFvTY*2mL&OpFxCEXa*5+`w`)KSe!qFT@ULE&ebD&_j*0j+1MgVqp#L;2hVxCiU0rr diff --git a/readme.md b/readme.md index ba5d2454b..4e1a03338 100644 --- a/readme.md +++ b/readme.md @@ -5,4 +5,76 @@ https://hedgedoc.auro.re/x2PBnMb4Q3CQg-DRtt6Kkg# ## Contexte -Les architectures récentes associent, dans la même puce, des FPGA et des processeurs pour constituer des SoC (System on Chip). Elles sont composées d’unités optimisées et précâblées pour l’accélération matérielle de certains traitements de données et permettent d’interfacer plusieurs périphériques selon différentes modalités : utilisation d’un processeur matériel intégré ou d’un processeur logiciel (Soft-Core). \ No newline at end of file +Les architectures récentes associent, dans la même puce, des FPGA et des processeurs pour constituer des SoC (System on Chip). Elles sont composées d’unités optimisées et précâblées pour l’accélération matérielle de certains traitements de données et permettent d’interfacer plusieurs périphériques selon différentes modalités : utilisation d’un processeur matériel intégré ou d’un processeur logiciel (Soft-Core). + +## RISC-V + +On utilise pour ce projet, l'implémentation RISC-V neorv32 + +### Hardware + +<< NEORV32 Processor Configuration >> + +====== Core ====== +Is simulation: no +Clock speed: 50000000 Hz +On-chip debugger: no +Custom ID: 0x00000000 +Hart ID: 0x00000000 +Vendor ID: 0x00000000 +Architecture ID: 0x00000013 +Implementation ID: 0x01080106 (v1.8.1.6) +Architecture: rv32-little +ISA extensions: C I M X Zicsr Zicntr +Tuning options: +Phys. Mem. Prot.: not implemented +HPM Counters: not implemented + +====== Memory ====== +Boot configuration: Boot via Bootloader +Instr. base address: 0x00000000 +Internal IMEM: yes, 16384 bytes +Data base address: 0x80000000 +Internal DMEM: yes, 8192 bytes +Internal i-cache: no +Ext. bus interface: no +Ext. bus endianness: little + +====== Peripherals ====== +[x] GPIO +[x] MTIME +[x] UART0 +[ ] UART1 +[ ] SPI +[ ] TWI +[ ] PWM +[ ] WDT +[ ] TRNG +[ ] CFS +[ ] SLINK +[ ] NEOLED +[ ] XIRQ +[ ] GPTMR +[ ] XIP +[ ] ONEWIRE + + +### Software + +Print sur le série : +``` +neorv32_uart0_puts("Hello world! :)\n"); +``` + +Mesures : +``` +Begin_Time = (long)neorv32_mtime_get_time(); +End_Time = (long)neorv32_mtime_get_time(); +User_Time = End_Time - Begin_Time; +uint32_t dhry_per_sec = (uint32_t)(NEORV32_SYSINFO.CLK / (User_Time / Number_Of_Runs)); + +neorv32_uart0_printf("NEORV32: Total cycles: %u\n", (uint32_t)User_Time); +neorv32_uart0_printf("NEORV32: Cycles per second: %u\n", (uint32_t)NEORV32_SYSINFO.CLK); +neorv32_uart0_printf("NEORV32: DMIPS/s: %u\n", (uint32_t)dhry_per_sec); + +``` \ No newline at end of file