You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

376 lines
55 KiB
Plaintext

================================================================
== Vivado HLS Report for 'ConvertScaleAbs155'
================================================================
* Date: Tue Feb 7 10:18:30 2023
* Version: 2019.1 (Build 2552052 on Fri May 24 15:28:33 MDT 2019)
* Project: edge_detect
* Solution: solution1
* Product family: zynq
* Target device: xc7z020-clg400-1
================================================================
== Performance Estimates
================================================================
+ Timing (ns):
* Summary:
+--------+-------+----------+------------+
| Clock | Target| Estimated| Uncertainty|
+--------+-------+----------+------------+
|ap_clk | 6.70| 6.562| 0.84|
+--------+-------+----------+------------+
+ Latency (clock cycles):
* Summary:
+---------+---------+---------+---------+---------+
| Latency | Interval | Pipeline|
| min | max | min | max | Type |
+---------+---------+---------+---------+---------+
| 2094121| 2094121| 2094121| 2094121| none |
+---------+---------+---------+---------+---------+
+ Detail:
* Instance:
N/A
* Loop:
+---------------+---------+---------+----------+-----------+-----------+------+----------+
| | Latency | Iteration| Initiation Interval | Trip | |
| Loop Name | min | max | Latency | achieved | target | Count| Pipelined|
+---------------+---------+---------+----------+-----------+-----------+------+----------+
|- loop_height | 2094120| 2094120| 1939| -| -| 1080| no |
| + loop_width | 1936| 1936| 18| 1| 1| 1920| yes |
+---------------+---------+---------+----------+-----------+-----------+------+----------+
============================================================
+ Verbose Summary: Synthesis Manager
============================================================
InlineROM: 1
ExposeGlobal: 0
============================================================
+ Verbose Summary: CDFG Model
============================================================
IsTopModel: 0
ResetActiveHigh: 1
IsCombinational: 2
IsDatapathOnly: 0
HasWiredReturn: 1
HasMFsm: 0
HasVarLatency: 1
IsPipeline: 0
IsRtlPipelined: 0
IsInstanceOverlapped: 0
IsDontTouch: 0
HasImplIP: 0
IsGatedGlobalClock: 0
+ Individual pipeline summary:
* Pipeline-0: initiation interval (II) = 1, depth = 18
============================================================
+ Verbose Summary: Schedule
============================================================
* Number of FSM states : 21
* Pipeline : 1
Pipeline-0 : II = 1, D = 18, States = { 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 }
* Dataflow Pipeline: 0
* FSM state transitions:
1 --> 2
2 --> 3
3 --> 21 4
4 --> 5
5 --> 6
6 --> 7
7 --> 8
8 --> 9
9 --> 10
10 --> 11
11 --> 12
12 --> 13
13 --> 14
14 --> 15
15 --> 16
16 --> 17
17 --> 18
18 --> 19
19 --> 20
20 --> 3
21 --> 2
* FSM state operations:
State 1 <SV = 0> <Delay = 1.76>
ST_1 : Operation 22 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecInterface(i8* %p_src_data_stream_V2, [8 x i8]* @ap_fifo_str, i32 0, i32 0, [1 x i8]* @p_str, i32 0, i32 0, [1 x i8]* @p_str, [1 x i8]* @p_str, [1 x i8]* @p_str, i32 2, i32 2, i32 16, i32 16, [1 x i8]* @p_str, [1 x i8]* @p_str)" ---> Operation 22 'specinterface' <Predicate = true> <Delay = 0.00>
ST_1 : Operation 23 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecInterface(i8* %p_src_data_stream_V1, [8 x i8]* @ap_fifo_str, i32 0, i32 0, [1 x i8]* @p_str, i32 0, i32 0, [1 x i8]* @p_str, [1 x i8]* @p_str, [1 x i8]* @p_str, i32 2, i32 2, i32 16, i32 16, [1 x i8]* @p_str, [1 x i8]* @p_str)" ---> Operation 23 'specinterface' <Predicate = true> <Delay = 0.00>
ST_1 : Operation 24 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecInterface(i8* %p_src_data_stream_V, [8 x i8]* @ap_fifo_str, i32 0, i32 0, [1 x i8]* @p_str, i32 0, i32 0, [1 x i8]* @p_str, [1 x i8]* @p_str, [1 x i8]* @p_str, i32 2, i32 2, i32 16, i32 16, [1 x i8]* @p_str, [1 x i8]* @p_str)" ---> Operation 24 'specinterface' <Predicate = true> <Delay = 0.00>
ST_1 : Operation 25 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecInterface(i8* %p_dst_data_stream_V, [8 x i8]* @ap_fifo_str, i32 0, i32 0, [1 x i8]* @p_str, i32 0, i32 0, [1 x i8]* @p_str, [1 x i8]* @p_str, [1 x i8]* @p_str, i32 2, i32 2, i32 16, i32 16, [1 x i8]* @p_str, [1 x i8]* @p_str)" ---> Operation 25 'specinterface' <Predicate = true> <Delay = 0.00>
ST_1 : Operation 26 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecInterface(i8* %p_dst_data_stream_V3, [8 x i8]* @ap_fifo_str, i32 0, i32 0, [1 x i8]* @p_str, i32 0, i32 0, [1 x i8]* @p_str, [1 x i8]* @p_str, [1 x i8]* @p_str, i32 2, i32 2, i32 16, i32 16, [1 x i8]* @p_str, [1 x i8]* @p_str)" ---> Operation 26 'specinterface' <Predicate = true> <Delay = 0.00>
ST_1 : Operation 27 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecInterface(i8* %p_dst_data_stream_V4, [8 x i8]* @ap_fifo_str, i32 0, i32 0, [1 x i8]* @p_str, i32 0, i32 0, [1 x i8]* @p_str, [1 x i8]* @p_str, [1 x i8]* @p_str, i32 2, i32 2, i32 16, i32 16, [1 x i8]* @p_str, [1 x i8]* @p_str)" ---> Operation 27 'specinterface' <Predicate = true> <Delay = 0.00>
ST_1 : Operation 28 [1/1] (1.76ns) ---> "br label %0" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526] ---> Operation 28 'br' <Predicate = true> <Delay = 1.76>
State 2 <SV = 1> <Delay = 2.85>
ST_2 : Operation 29 [1/1] (0.00ns) ---> "%i_0 = phi i11 [ 0, %._crit_edge ], [ %i, %loop_height_end ]" ---> Operation 29 'phi' 'i_0' <Predicate = true> <Delay = 0.00>
ST_2 : Operation 30 [1/1] (1.88ns) ---> "%icmp_ln2526 = icmp ult i11 %i_0, -968" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526] ---> Operation 30 'icmp' 'icmp_ln2526' <Predicate = true> <Delay = 1.88> <Core = "Cmp"> ---> Core 25 'Cmp' <Latency = 0> <II = 1> <Delay = 1.88> <FuncUnit> <Opcode : 'icmp'> <InPorts = 2> <OutPorts = 1>
ST_2 : Operation 31 [1/1] (1.63ns) ---> "%i = add i11 %i_0, 1" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526] ---> Operation 31 'add' 'i' <Predicate = true> <Delay = 1.63> <Core = "AddSub"> ---> Core 14 'AddSub' <Latency = 0> <II = 1> <Delay = 1.63> <FuncUnit> <Opcode : 'add' 'sub'> <InPorts = 2> <OutPorts = 1>
ST_2 : Operation 32 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecLoopTripCount(i64 1080, i64 1080, i64 1080)" ---> Operation 32 'speclooptripcount' <Predicate = true> <Delay = 0.00>
ST_2 : Operation 33 [1/1] (0.00ns) ---> "br i1 %icmp_ln2526, label %loop_height_begin, label %2" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526] ---> Operation 33 'br' <Predicate = true> <Delay = 0.00>
ST_2 : Operation 34 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecLoopName([12 x i8]* @p_str7) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526] ---> Operation 34 'specloopname' <Predicate = (icmp_ln2526)> <Delay = 0.00>
ST_2 : Operation 35 [1/1] (0.00ns) ---> "%tmp_18 = call i32 (...)* @_ssdm_op_SpecRegionBegin([12 x i8]* @p_str7)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526] ---> Operation 35 'specregionbegin' 'tmp_18' <Predicate = (icmp_ln2526)> <Delay = 0.00>
ST_2 : Operation 36 [1/1] (1.76ns) ---> "br label %1" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527] ---> Operation 36 'br' <Predicate = (icmp_ln2526)> <Delay = 1.76>
ST_2 : Operation 37 [1/1] (0.00ns) ---> "ret void" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2538] ---> Operation 37 'ret' <Predicate = (!icmp_ln2526)> <Delay = 0.00>
State 3 <SV = 2> <Delay = 1.88>
ST_3 : Operation 38 [1/1] (0.00ns) ---> "%j_0 = phi i11 [ 0, %loop_height_begin ], [ %j, %loop_width_begin ]" ---> Operation 38 'phi' 'j_0' <Predicate = true> <Delay = 0.00>
ST_3 : Operation 39 [1/1] (1.88ns) ---> "%icmp_ln2527 = icmp ult i11 %j_0, -128" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527] ---> Operation 39 'icmp' 'icmp_ln2527' <Predicate = true> <Delay = 1.88> <Core = "Cmp"> ---> Core 25 'Cmp' <Latency = 0> <II = 1> <Delay = 1.88> <FuncUnit> <Opcode : 'icmp'> <InPorts = 2> <OutPorts = 1>
ST_3 : Operation 40 [1/1] (1.63ns) ---> "%j = add i11 %j_0, 1" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527] ---> Operation 40 'add' 'j' <Predicate = true> <Delay = 1.63> <Core = "AddSub"> ---> Core 14 'AddSub' <Latency = 0> <II = 1> <Delay = 1.63> <FuncUnit> <Opcode : 'add' 'sub'> <InPorts = 2> <OutPorts = 1>
ST_3 : Operation 41 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecLoopTripCount(i64 1920, i64 1920, i64 1920)" ---> Operation 41 'speclooptripcount' <Predicate = true> <Delay = 0.00>
ST_3 : Operation 42 [1/1] (0.00ns) ---> "br i1 %icmp_ln2527, label %loop_width_begin, label %loop_height_end" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527] ---> Operation 42 'br' <Predicate = true> <Delay = 0.00>
State 4 <SV = 3> <Delay = 2.93>
ST_4 : Operation 43 [1/1] (0.00ns) ---> "%tmp_20 = call i32 (...)* @_ssdm_op_SpecRegionBegin([12 x i8]* @p_str12)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:672->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:711->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2530] ---> Operation 43 'specregionbegin' 'tmp_20' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_4 : Operation 44 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecProtocol(i32 0, [1 x i8]* @p_str) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:676->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:711->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2530] ---> Operation 44 'specprotocol' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_4 : Operation 45 [1/1] (2.93ns) ---> "%tmp_63 = call i8 @_ssdm_op_Read.ap_fifo.volatile.i8P(i8* %p_src_data_stream_V)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:679->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:711->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2530] ---> Operation 45 'read' 'tmp_63' <Predicate = (icmp_ln2527)> <Delay = 2.93> <Core = "FIFO"> ---> Core 32 'FIFO' <Latency = 0> <II = 1> <Delay = 3.63> <Storage> <Opcode : 'read' 'write' 'nbread' 'nbwrite'> <Ports = 0> <Width = 8> <Depth = 2> <FIFO>
ST_4 : Operation 46 [1/1] (2.93ns) ---> "%tmp_64 = call i8 @_ssdm_op_Read.ap_fifo.volatile.i8P(i8* %p_src_data_stream_V1)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:679->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:711->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2530] ---> Operation 46 'read' 'tmp_64' <Predicate = (icmp_ln2527)> <Delay = 2.93> <Core = "FIFO"> ---> Core 32 'FIFO' <Latency = 0> <II = 1> <Delay = 3.63> <Storage> <Opcode : 'read' 'write' 'nbread' 'nbwrite'> <Ports = 0> <Width = 8> <Depth = 2> <FIFO>
ST_4 : Operation 47 [1/1] (2.93ns) ---> "%tmp_65 = call i8 @_ssdm_op_Read.ap_fifo.volatile.i8P(i8* %p_src_data_stream_V2)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:679->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:711->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2530] ---> Operation 47 'read' 'tmp_65' <Predicate = (icmp_ln2527)> <Delay = 2.93> <Core = "FIFO"> ---> Core 32 'FIFO' <Latency = 0> <II = 1> <Delay = 3.63> <Storage> <Opcode : 'read' 'write' 'nbread' 'nbwrite'> <Ports = 0> <Width = 8> <Depth = 2> <FIFO>
ST_4 : Operation 48 [1/1] (0.00ns) ---> "%empty = call i32 (...)* @_ssdm_op_SpecRegionEnd([12 x i8]* @p_str12, i32 %tmp_20)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:681->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:711->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2530] ---> Operation 48 'specregionend' 'empty' <Predicate = (icmp_ln2527)> <Delay = 0.00>
State 5 <SV = 4> <Delay = 6.56>
ST_5 : Operation 49 [1/1] (0.00ns) ---> "%zext_ln2533 = zext i8 %tmp_63 to i32" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 49 'zext' 'zext_ln2533' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_5 : Operation 50 [8/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 50 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_5 : Operation 51 [1/1] (0.00ns) ---> "%zext_ln2533_1 = zext i8 %tmp_64 to i32" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 51 'zext' 'zext_ln2533_1' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_5 : Operation 52 [8/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 52 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_5 : Operation 53 [1/1] (0.00ns) ---> "%zext_ln2533_2 = zext i8 %tmp_65 to i32" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 53 'zext' 'zext_ln2533_2' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_5 : Operation 54 [8/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 54 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
State 6 <SV = 5> <Delay = 6.56>
ST_6 : Operation 55 [7/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 55 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_6 : Operation 56 [7/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 56 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_6 : Operation 57 [7/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 57 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
State 7 <SV = 6> <Delay = 6.56>
ST_7 : Operation 58 [6/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 58 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_7 : Operation 59 [6/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 59 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_7 : Operation 60 [6/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 60 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
State 8 <SV = 7> <Delay = 6.56>
ST_8 : Operation 61 [5/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 61 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_8 : Operation 62 [5/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 62 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_8 : Operation 63 [5/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 63 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
State 9 <SV = 8> <Delay = 6.56>
ST_9 : Operation 64 [4/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 64 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_9 : Operation 65 [4/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 65 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_9 : Operation 66 [4/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 66 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
State 10 <SV = 9> <Delay = 6.56>
ST_10 : Operation 67 [3/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 67 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_10 : Operation 68 [3/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 68 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_10 : Operation 69 [3/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 69 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
State 11 <SV = 10> <Delay = 6.56>
ST_11 : Operation 70 [2/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 70 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_11 : Operation 71 [2/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 71 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_11 : Operation 72 [2/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 72 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
State 12 <SV = 11> <Delay = 6.56>
ST_12 : Operation 73 [1/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 73 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_12 : Operation 74 [1/1] (0.00ns) ---> "%p_Val2_s = bitcast double %x_assign to i64" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:475->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:479->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:12->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 74 'bitcast' 'p_Val2_s' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_12 : Operation 75 [1/1] (0.00ns) ---> "%trunc_ln368 = trunc i64 %p_Val2_s to i63" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:495->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 75 'trunc' 'trunc_ln368' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_12 : Operation 76 [1/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 76 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_12 : Operation 77 [1/1] (0.00ns) ---> "%p_Val2_40 = bitcast double %x_assign_3 to i64" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:475->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:479->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:12->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 77 'bitcast' 'p_Val2_40' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_12 : Operation 78 [1/1] (0.00ns) ---> "%trunc_ln368_3 = trunc i64 %p_Val2_40 to i63" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:495->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 78 'trunc' 'trunc_ln368_3' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_12 : Operation 79 [1/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 79 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_12 : Operation 80 [1/1] (0.00ns) ---> "%p_Val2_41 = bitcast double %x_assign_4 to i64" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:475->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:479->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:12->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 80 'bitcast' 'p_Val2_41' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_12 : Operation 81 [1/1] (0.00ns) ---> "%trunc_ln368_4 = trunc i64 %p_Val2_41 to i63" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:495->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 81 'trunc' 'trunc_ln368_4' <Predicate = (icmp_ln2527)> <Delay = 0.00>
State 13 <SV = 12> <Delay = 2.78>
ST_13 : Operation 82 [1/1] (0.00ns) ---> "%p_Result_s = call i64 @_ssdm_op_BitConcatenate.i64.i1.i63(i1 false, i63 %trunc_ln368)" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:495->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 82 'bitconcatenate' 'p_Result_s' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_13 : Operation 83 [1/1] (0.00ns) ---> "%bitcast_ln512 = bitcast i64 %p_Result_s to double" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 83 'bitcast' 'bitcast_ln512' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_13 : Operation 84 [7/7] (2.78ns) ---> "%p_d_val_0 = call fastcc i8 @"operator().1"(double %bitcast_ln512) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 84 'call' 'p_d_val_0' <Predicate = (icmp_ln2527)> <Delay = 2.78> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_13 : Operation 85 [1/1] (0.00ns) ---> "%p_Result_15 = call i64 @_ssdm_op_BitConcatenate.i64.i1.i63(i1 false, i63 %trunc_ln368_3)" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:495->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 85 'bitconcatenate' 'p_Result_15' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_13 : Operation 86 [1/1] (0.00ns) ---> "%bitcast_ln512_3 = bitcast i64 %p_Result_15 to double" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 86 'bitcast' 'bitcast_ln512_3' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_13 : Operation 87 [7/7] (2.78ns) ---> "%p_d_val_1 = call fastcc i8 @"operator().1"(double %bitcast_ln512_3) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 87 'call' 'p_d_val_1' <Predicate = (icmp_ln2527)> <Delay = 2.78> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_13 : Operation 88 [1/1] (0.00ns) ---> "%p_Result_16 = call i64 @_ssdm_op_BitConcatenate.i64.i1.i63(i1 false, i63 %trunc_ln368_4)" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:495->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 88 'bitconcatenate' 'p_Result_16' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_13 : Operation 89 [1/1] (0.00ns) ---> "%bitcast_ln512_4 = bitcast i64 %p_Result_16 to double" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 89 'bitcast' 'bitcast_ln512_4' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_13 : Operation 90 [7/7] (2.78ns) ---> "%tmp_24 = call fastcc i8 @"operator().1"(double %bitcast_ln512_4) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 90 'call' 'tmp_24' <Predicate = (icmp_ln2527)> <Delay = 2.78> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
State 14 <SV = 13> <Delay = 5.60>
ST_14 : Operation 91 [6/7] (5.60ns) ---> "%p_d_val_0 = call fastcc i8 @"operator().1"(double %bitcast_ln512) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 91 'call' 'p_d_val_0' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_14 : Operation 92 [6/7] (5.60ns) ---> "%p_d_val_1 = call fastcc i8 @"operator().1"(double %bitcast_ln512_3) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 92 'call' 'p_d_val_1' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_14 : Operation 93 [6/7] (5.60ns) ---> "%tmp_24 = call fastcc i8 @"operator().1"(double %bitcast_ln512_4) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 93 'call' 'tmp_24' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
State 15 <SV = 14> <Delay = 5.60>
ST_15 : Operation 94 [5/7] (5.60ns) ---> "%p_d_val_0 = call fastcc i8 @"operator().1"(double %bitcast_ln512) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 94 'call' 'p_d_val_0' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_15 : Operation 95 [5/7] (5.60ns) ---> "%p_d_val_1 = call fastcc i8 @"operator().1"(double %bitcast_ln512_3) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 95 'call' 'p_d_val_1' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_15 : Operation 96 [5/7] (5.60ns) ---> "%tmp_24 = call fastcc i8 @"operator().1"(double %bitcast_ln512_4) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 96 'call' 'tmp_24' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
State 16 <SV = 15> <Delay = 5.60>
ST_16 : Operation 97 [4/7] (5.60ns) ---> "%p_d_val_0 = call fastcc i8 @"operator().1"(double %bitcast_ln512) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 97 'call' 'p_d_val_0' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_16 : Operation 98 [4/7] (5.60ns) ---> "%p_d_val_1 = call fastcc i8 @"operator().1"(double %bitcast_ln512_3) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 98 'call' 'p_d_val_1' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_16 : Operation 99 [4/7] (5.60ns) ---> "%tmp_24 = call fastcc i8 @"operator().1"(double %bitcast_ln512_4) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 99 'call' 'tmp_24' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
State 17 <SV = 16> <Delay = 5.60>
ST_17 : Operation 100 [3/7] (5.60ns) ---> "%p_d_val_0 = call fastcc i8 @"operator().1"(double %bitcast_ln512) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 100 'call' 'p_d_val_0' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_17 : Operation 101 [3/7] (5.60ns) ---> "%p_d_val_1 = call fastcc i8 @"operator().1"(double %bitcast_ln512_3) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 101 'call' 'p_d_val_1' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_17 : Operation 102 [3/7] (5.60ns) ---> "%tmp_24 = call fastcc i8 @"operator().1"(double %bitcast_ln512_4) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 102 'call' 'tmp_24' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
State 18 <SV = 17> <Delay = 5.60>
ST_18 : Operation 103 [2/7] (5.60ns) ---> "%p_d_val_0 = call fastcc i8 @"operator().1"(double %bitcast_ln512) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 103 'call' 'p_d_val_0' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_18 : Operation 104 [2/7] (5.60ns) ---> "%p_d_val_1 = call fastcc i8 @"operator().1"(double %bitcast_ln512_3) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 104 'call' 'p_d_val_1' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_18 : Operation 105 [2/7] (5.60ns) ---> "%tmp_24 = call fastcc i8 @"operator().1"(double %bitcast_ln512_4) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 105 'call' 'tmp_24' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
State 19 <SV = 18> <Delay = 3.47>
ST_19 : Operation 106 [1/7] (3.47ns) ---> "%p_d_val_0 = call fastcc i8 @"operator().1"(double %bitcast_ln512) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 106 'call' 'p_d_val_0' <Predicate = (icmp_ln2527)> <Delay = 3.47> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_19 : Operation 107 [1/7] (3.47ns) ---> "%p_d_val_1 = call fastcc i8 @"operator().1"(double %bitcast_ln512_3) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 107 'call' 'p_d_val_1' <Predicate = (icmp_ln2527)> <Delay = 3.47> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_19 : Operation 108 [1/7] (3.47ns) ---> "%tmp_24 = call fastcc i8 @"operator().1"(double %bitcast_ln512_4) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 108 'call' 'tmp_24' <Predicate = (icmp_ln2527)> <Delay = 3.47> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
State 20 <SV = 19> <Delay = 2.93>
ST_20 : Operation 109 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecLoopName([11 x i8]* @p_str8) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527] ---> Operation 109 'specloopname' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_20 : Operation 110 [1/1] (0.00ns) ---> "%tmp_19 = call i32 (...)* @_ssdm_op_SpecRegionBegin([11 x i8]* @p_str8)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527] ---> Operation 110 'specregionbegin' 'tmp_19' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_20 : Operation 111 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecPipeline(i32 -1, i32 1, i32 1, i32 0, [1 x i8]* @p_str) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2529] ---> Operation 111 'specpipeline' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_20 : Operation 112 [1/1] (0.00ns) ---> "%tmp_s = call i32 (...)* @_ssdm_op_SpecRegionBegin([12 x i8]* @p_str16)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:696->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:717->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2535] ---> Operation 112 'specregionbegin' 'tmp_s' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_20 : Operation 113 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecProtocol(i32 0, [1 x i8]* @p_str) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:700->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:717->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2535] ---> Operation 113 'specprotocol' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_20 : Operation 114 [1/1] (2.93ns) ---> "call void @_ssdm_op_Write.ap_fifo.volatile.i8P(i8* %p_dst_data_stream_V, i8 %p_d_val_0)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:703->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:717->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2535] ---> Operation 114 'write' <Predicate = (icmp_ln2527)> <Delay = 2.93> <Core = "FIFO"> ---> Core 32 'FIFO' <Latency = 0> <II = 1> <Delay = 3.63> <Storage> <Opcode : 'read' 'write' 'nbread' 'nbwrite'> <Ports = 0> <Width = 8> <Depth = 2> <FIFO>
ST_20 : Operation 115 [1/1] (2.93ns) ---> "call void @_ssdm_op_Write.ap_fifo.volatile.i8P(i8* %p_dst_data_stream_V3, i8 %p_d_val_1)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:703->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:717->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2535] ---> Operation 115 'write' <Predicate = (icmp_ln2527)> <Delay = 2.93> <Core = "FIFO"> ---> Core 32 'FIFO' <Latency = 0> <II = 1> <Delay = 3.63> <Storage> <Opcode : 'read' 'write' 'nbread' 'nbwrite'> <Ports = 0> <Width = 8> <Depth = 2> <FIFO>
ST_20 : Operation 116 [1/1] (2.93ns) ---> "call void @_ssdm_op_Write.ap_fifo.volatile.i8P(i8* %p_dst_data_stream_V4, i8 %tmp_24)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:703->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:717->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2535] ---> Operation 116 'write' <Predicate = (icmp_ln2527)> <Delay = 2.93> <Core = "FIFO"> ---> Core 32 'FIFO' <Latency = 0> <II = 1> <Delay = 3.63> <Storage> <Opcode : 'read' 'write' 'nbread' 'nbwrite'> <Ports = 0> <Width = 8> <Depth = 2> <FIFO>
ST_20 : Operation 117 [1/1] (0.00ns) ---> "%empty_105 = call i32 (...)* @_ssdm_op_SpecRegionEnd([12 x i8]* @p_str16, i32 %tmp_s)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:705->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:717->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2535] ---> Operation 117 'specregionend' 'empty_105' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_20 : Operation 118 [1/1] (0.00ns) ---> "%empty_106 = call i32 (...)* @_ssdm_op_SpecRegionEnd([11 x i8]* @p_str8, i32 %tmp_19)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2536] ---> Operation 118 'specregionend' 'empty_106' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_20 : Operation 119 [1/1] (0.00ns) ---> "br label %1" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527] ---> Operation 119 'br' <Predicate = (icmp_ln2527)> <Delay = 0.00>
State 21 <SV = 3> <Delay = 0.00>
ST_21 : Operation 120 [1/1] (0.00ns) ---> "%empty_107 = call i32 (...)* @_ssdm_op_SpecRegionEnd([12 x i8]* @p_str7, i32 %tmp_18)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2537] ---> Operation 120 'specregionend' 'empty_107' <Predicate = true> <Delay = 0.00>
ST_21 : Operation 121 [1/1] (0.00ns) ---> "br label %0" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526] ---> Operation 121 'br' <Predicate = true> <Delay = 0.00>
============================================================
+ Verbose Summary: Timing violations
============================================================
Target clock period: 6.7ns, clock uncertainty: 0.837ns.
<State 1>: 1.77ns
The critical path consists of the following:
multiplexor before 'phi' operation ('i') with incoming values : ('i', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526) [15] (1.77 ns)
<State 2>: 2.86ns
The critical path consists of the following:
'icmp' operation ('icmp_ln2526', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526) [16] (1.88 ns)
blocking operation 0.978 ns on control path)
<State 3>: 1.88ns
The critical path consists of the following:
'phi' operation ('j') with incoming values : ('j', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527) [25] (0 ns)
'icmp' operation ('icmp_ln2527', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527) [26] (1.88 ns)
<State 4>: 2.93ns
The critical path consists of the following:
fifo read on port 'p_src_data_stream_V' (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:679->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:711->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2530) [36] (2.93 ns)
<State 5>: 6.56ns
The critical path consists of the following:
'sitodp' operation ('x', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) [41] (6.56 ns)
<State 6>: 6.56ns
The critical path consists of the following:
'sitodp' operation ('x', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) [41] (6.56 ns)
<State 7>: 6.56ns
The critical path consists of the following:
'sitodp' operation ('x', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) [41] (6.56 ns)
<State 8>: 6.56ns
The critical path consists of the following:
'sitodp' operation ('x', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) [41] (6.56 ns)
<State 9>: 6.56ns
The critical path consists of the following:
'sitodp' operation ('x', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) [41] (6.56 ns)
<State 10>: 6.56ns
The critical path consists of the following:
'sitodp' operation ('x', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) [41] (6.56 ns)
<State 11>: 6.56ns
The critical path consists of the following:
'sitodp' operation ('x', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) [41] (6.56 ns)
<State 12>: 6.56ns
The critical path consists of the following:
'sitodp' operation ('x', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) [41] (6.56 ns)
<State 13>: 2.79ns
The critical path consists of the following:
'call' operation ('_d.val[0]', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) to 'operator().1' [46] (2.79 ns)
<State 14>: 5.61ns
The critical path consists of the following:
'call' operation ('_d.val[0]', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) to 'operator().1' [46] (5.61 ns)
<State 15>: 5.61ns
The critical path consists of the following:
'call' operation ('_d.val[0]', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) to 'operator().1' [46] (5.61 ns)
<State 16>: 5.61ns
The critical path consists of the following:
'call' operation ('_d.val[0]', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) to 'operator().1' [46] (5.61 ns)
<State 17>: 5.61ns
The critical path consists of the following:
'call' operation ('_d.val[0]', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) to 'operator().1' [46] (5.61 ns)
<State 18>: 5.61ns
The critical path consists of the following:
'call' operation ('_d.val[0]', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) to 'operator().1' [46] (5.61 ns)
<State 19>: 3.47ns
The critical path consists of the following:
'call' operation ('_d.val[0]', C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) to 'operator().1' [46] (3.47 ns)
<State 20>: 2.93ns
The critical path consists of the following:
fifo write on port 'p_dst_data_stream_V' (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:703->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:717->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2535) [63] (2.93 ns)
<State 21>: 0ns
The critical path consists of the following:
============================================================
+ Verbose Summary: Binding
============================================================
N/A
* FSMD analyzer results:
- Output states:
- Input state :
- Chain level:
State 1
State 2
State 3
State 4
State 5
State 6
State 7
State 8
State 9
State 10
State 11
State 12
State 13
State 14
State 15
State 16
State 17
State 18
State 19
State 20
State 21
============================================================
+ Verbose Summary: Datapath Resource usage
============================================================
N/A