You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

1766 lines
100 KiB
Plaintext

================================================================
== Vivado HLS Report for 'ConvertScaleAbs155'
================================================================
* Date: Tue Feb 7 10:18:44 2023
* Version: 2019.1 (Build 2552052 on Fri May 24 15:28:33 MDT 2019)
* Project: edge_detect
* Solution: solution1
* Product family: zynq
* Target device: xc7z020-clg400-1
================================================================
== Performance Estimates
================================================================
+ Timing (ns):
* Summary:
+--------+-------+----------+------------+
| Clock | Target| Estimated| Uncertainty|
+--------+-------+----------+------------+
|ap_clk | 6.70| 6.562| 0.84|
+--------+-------+----------+------------+
+ Latency (clock cycles):
* Summary:
+---------+---------+---------+---------+---------+
| Latency | Interval | Pipeline|
| min | max | min | max | Type |
+---------+---------+---------+---------+---------+
| 2094121| 2094121| 2094121| 2094121| none |
+---------+---------+---------+---------+---------+
+ Detail:
* Instance:
+-----------------------+------------+-----+-----+-----+-----+----------+
| | | Latency | Interval | Pipeline |
| Instance | Module | min | max | min | max | Type |
+-----------------------+------------+-----+-----+-----+-----+----------+
|grp_operator_1_fu_131 |operator_1 | 5| 5| 1| 1| function |
|grp_operator_1_fu_136 |operator_1 | 5| 5| 1| 1| function |
|grp_operator_1_fu_141 |operator_1 | 5| 5| 1| 1| function |
+-----------------------+------------+-----+-----+-----+-----+----------+
* Loop:
+---------------+---------+---------+----------+-----------+-----------+------+----------+
| | Latency | Iteration| Initiation Interval | Trip | |
| Loop Name | min | max | Latency | achieved | target | Count| Pipelined|
+---------------+---------+---------+----------+-----------+-----------+------+----------+
|- loop_height | 2094120| 2094120| 1939| -| -| 1080| no |
| + loop_width | 1936| 1936| 18| 1| 1| 1920| yes |
+---------------+---------+---------+----------+-----------+-----------+------+----------+
================================================================
== Utilization Estimates
================================================================
* Summary:
+-----------------+---------+-------+--------+-------+-----+
| Name | BRAM_18K| DSP48E| FF | LUT | URAM|
+-----------------+---------+-------+--------+-------+-----+
|DSP | -| -| -| -| -|
|Expression | -| -| 0| 64| -|
|FIFO | -| -| -| -| -|
|Instance | 0| 0| 4923| 6591| -|
|Memory | -| -| -| -| -|
|Multiplexer | -| -| -| 126| -|
|Register | 0| -| 358| 32| -|
+-----------------+---------+-------+--------+-------+-----+
|Total | 0| 0| 5281| 6813| 0|
+-----------------+---------+-------+--------+-------+-----+
|Available | 280| 220| 106400| 53200| 0|
+-----------------+---------+-------+--------+-------+-----+
|Utilization (%) | 0| 0| 4| 12| 0|
+-----------------+---------+-------+--------+-------+-----+
+ Detail:
* Instance:
+--------------------------+----------------------+---------+-------+------+------+-----+
| Instance | Module | BRAM_18K| DSP48E| FF | LUT | URAM|
+--------------------------+----------------------+---------+-------+------+------+-----+
|edge_detect_sitodocq_U71 |edge_detect_sitodocq | 0| 0| 549| 625| 0|
|edge_detect_sitodocq_U72 |edge_detect_sitodocq | 0| 0| 549| 625| 0|
|edge_detect_sitodocq_U73 |edge_detect_sitodocq | 0| 0| 549| 625| 0|
|grp_operator_1_fu_131 |operator_1 | 0| 0| 1092| 1572| 0|
|grp_operator_1_fu_136 |operator_1 | 0| 0| 1092| 1572| 0|
|grp_operator_1_fu_141 |operator_1 | 0| 0| 1092| 1572| 0|
+--------------------------+----------------------+---------+-------+------+------+-----+
|Total | | 0| 0| 4923| 6591| 0|
+--------------------------+----------------------+---------+-------+------+------+-----+
* DSP48E:
N/A
* Memory:
N/A
* FIFO:
N/A
* Expression:
+------------------------------------+----------+-------+---+----+------------+------------+
| Variable Name | Operation| DSP48E| FF| LUT| Bitwidth P0| Bitwidth P1|
+------------------------------------+----------+-------+---+----+------------+------------+
|i_fu_161_p2 | + | 0| 0| 13| 11| 1|
|j_fu_173_p2 | + | 0| 0| 13| 11| 1|
|icmp_ln2526_fu_155_p2 | icmp | 0| 0| 13| 11| 11|
|icmp_ln2527_fu_167_p2 | icmp | 0| 0| 13| 11| 9|
|ap_block_pp0_stage0_01001 | or | 0| 0| 2| 1| 1|
|ap_block_state1 | or | 0| 0| 2| 1| 1|
|ap_block_state20_pp0_stage0_iter17 | or | 0| 0| 2| 1| 1|
|ap_block_state4_pp0_stage0_iter1 | or | 0| 0| 2| 1| 1|
|ap_enable_pp0 | xor | 0| 0| 2| 1| 2|
|ap_enable_reg_pp0_iter1 | xor | 0| 0| 2| 2| 1|
+------------------------------------+----------+-------+---+----+------------+------------+
|Total | | 0| 0| 64| 51| 29|
+------------------------------------+----------+-------+---+----+------------+------------+
* Multiplexer:
+----------------------------+----+-----------+-----+-----------+
| Name | LUT| Input Size| Bits| Total Bits|
+----------------------------+----+-----------+-----+-----------+
|ap_NS_fsm | 27| 5| 1| 5|
|ap_done | 9| 2| 1| 2|
|ap_enable_reg_pp0_iter1 | 9| 2| 1| 2|
|ap_enable_reg_pp0_iter17 | 9| 2| 1| 2|
|i_0_reg_109 | 9| 2| 11| 22|
|j_0_reg_120 | 9| 2| 11| 22|
|p_dst_data_stream_V3_blk_n | 9| 2| 1| 2|
|p_dst_data_stream_V4_blk_n | 9| 2| 1| 2|
|p_dst_data_stream_V_blk_n | 9| 2| 1| 2|
|p_src_data_stream_V1_blk_n | 9| 2| 1| 2|
|p_src_data_stream_V2_blk_n | 9| 2| 1| 2|
|p_src_data_stream_V_blk_n | 9| 2| 1| 2|
+----------------------------+----+-----------+-----+-----------+
|Total | 126| 27| 32| 67|
+----------------------------+----+-----------+-----+-----------+
* Register:
+--------------------------+----+----+-----+-----------+
| Name | FF | LUT| Bits| Const Bits|
+--------------------------+----+----+-----+-----------+
|ap_CS_fsm | 4| 0| 4| 0|
|ap_done_reg | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter0 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter1 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter10 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter11 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter12 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter13 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter14 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter15 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter16 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter17 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter2 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter3 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter4 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter5 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter6 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter7 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter8 | 1| 0| 1| 0|
|ap_enable_reg_pp0_iter9 | 1| 0| 1| 0|
|i_0_reg_109 | 11| 0| 11| 0|
|i_reg_255 | 11| 0| 11| 0|
|icmp_ln2527_reg_260 | 1| 0| 1| 0|
|j_0_reg_120 | 11| 0| 11| 0|
|p_d_val_0_reg_329 | 8| 0| 8| 0|
|p_d_val_1_reg_334 | 8| 0| 8| 0|
|tmp_24_reg_339 | 8| 0| 8| 0|
|tmp_63_reg_269 | 8| 0| 8| 0|
|tmp_64_reg_274 | 8| 0| 8| 0|
|tmp_65_reg_279 | 8| 0| 8| 0|
|trunc_ln368_3_reg_304 | 63| 0| 63| 0|
|trunc_ln368_4_reg_309 | 63| 0| 63| 0|
|trunc_ln368_reg_299 | 63| 0| 63| 0|
|icmp_ln2527_reg_260 | 64| 32| 1| 0|
+--------------------------+----+----+-----+-----------+
|Total | 358| 32| 295| 0|
+--------------------------+----+----+-----+-----------+
================================================================
== Interface
================================================================
* Summary:
+------------------------------+-----+-----+------------+----------------------+--------------+
| RTL Ports | Dir | Bits| Protocol | Source Object | C Type |
+------------------------------+-----+-----+------------+----------------------+--------------+
|ap_clk | in | 1| ap_ctrl_hs | ConvertScaleAbs155 | return value |
|ap_rst | in | 1| ap_ctrl_hs | ConvertScaleAbs155 | return value |
|ap_start | in | 1| ap_ctrl_hs | ConvertScaleAbs155 | return value |
|ap_done | out | 1| ap_ctrl_hs | ConvertScaleAbs155 | return value |
|ap_continue | in | 1| ap_ctrl_hs | ConvertScaleAbs155 | return value |
|ap_idle | out | 1| ap_ctrl_hs | ConvertScaleAbs155 | return value |
|ap_ready | out | 1| ap_ctrl_hs | ConvertScaleAbs155 | return value |
|p_src_data_stream_V_dout | in | 8| ap_fifo | p_src_data_stream_V | pointer |
|p_src_data_stream_V_empty_n | in | 1| ap_fifo | p_src_data_stream_V | pointer |
|p_src_data_stream_V_read | out | 1| ap_fifo | p_src_data_stream_V | pointer |
|p_src_data_stream_V1_dout | in | 8| ap_fifo | p_src_data_stream_V1 | pointer |
|p_src_data_stream_V1_empty_n | in | 1| ap_fifo | p_src_data_stream_V1 | pointer |
|p_src_data_stream_V1_read | out | 1| ap_fifo | p_src_data_stream_V1 | pointer |
|p_src_data_stream_V2_dout | in | 8| ap_fifo | p_src_data_stream_V2 | pointer |
|p_src_data_stream_V2_empty_n | in | 1| ap_fifo | p_src_data_stream_V2 | pointer |
|p_src_data_stream_V2_read | out | 1| ap_fifo | p_src_data_stream_V2 | pointer |
|p_dst_data_stream_V_din | out | 8| ap_fifo | p_dst_data_stream_V | pointer |
|p_dst_data_stream_V_full_n | in | 1| ap_fifo | p_dst_data_stream_V | pointer |
|p_dst_data_stream_V_write | out | 1| ap_fifo | p_dst_data_stream_V | pointer |
|p_dst_data_stream_V3_din | out | 8| ap_fifo | p_dst_data_stream_V3 | pointer |
|p_dst_data_stream_V3_full_n | in | 1| ap_fifo | p_dst_data_stream_V3 | pointer |
|p_dst_data_stream_V3_write | out | 1| ap_fifo | p_dst_data_stream_V3 | pointer |
|p_dst_data_stream_V4_din | out | 8| ap_fifo | p_dst_data_stream_V4 | pointer |
|p_dst_data_stream_V4_full_n | in | 1| ap_fifo | p_dst_data_stream_V4 | pointer |
|p_dst_data_stream_V4_write | out | 1| ap_fifo | p_dst_data_stream_V4 | pointer |
+------------------------------+-----+-----+------------+----------------------+--------------+
============================================================
+ Verbose Summary: Synthesis Manager
============================================================
InlineROM: 1
ExposeGlobal: 0
============================================================
+ Verbose Summary: CDFG Model
============================================================
IsTopModel: 0
ResetActiveHigh: 1
IsCombinational: 2
IsDatapathOnly: 0
HasWiredReturn: 1
HasMFsm: 0
HasVarLatency: 1
IsPipeline: 0
IsRtlPipelined: 0
IsInstanceOverlapped: 0
IsDontTouch: 0
HasImplIP: 0
IsGatedGlobalClock: 0
+ Individual pipeline summary:
* Pipeline-0: initiation interval (II) = 1, depth = 18
============================================================
+ Verbose Summary: Schedule
============================================================
* Number of FSM states : 21
* Pipeline : 1
Pipeline-0 : II = 1, D = 18, States = { 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 }
* Dataflow Pipeline: 0
* FSM state transitions:
1 --> 2
2 --> 3
3 --> 21 4
4 --> 5
5 --> 6
6 --> 7
7 --> 8
8 --> 9
9 --> 10
10 --> 11
11 --> 12
12 --> 13
13 --> 14
14 --> 15
15 --> 16
16 --> 17
17 --> 18
18 --> 19
19 --> 20
20 --> 3
21 --> 2
* FSM state operations:
State 1 <SV = 0> <Delay = 1.76>
ST_1 : Operation 22 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecInterface(i8* %p_src_data_stream_V2, [8 x i8]* @ap_fifo_str, i32 0, i32 0, [1 x i8]* @p_str, i32 0, i32 0, [1 x i8]* @p_str, [1 x i8]* @p_str, [1 x i8]* @p_str, i32 2, i32 2, i32 16, i32 16, [1 x i8]* @p_str, [1 x i8]* @p_str)" ---> Operation 22 'specinterface' <Predicate = true> <Delay = 0.00>
ST_1 : Operation 23 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecInterface(i8* %p_src_data_stream_V1, [8 x i8]* @ap_fifo_str, i32 0, i32 0, [1 x i8]* @p_str, i32 0, i32 0, [1 x i8]* @p_str, [1 x i8]* @p_str, [1 x i8]* @p_str, i32 2, i32 2, i32 16, i32 16, [1 x i8]* @p_str, [1 x i8]* @p_str)" ---> Operation 23 'specinterface' <Predicate = true> <Delay = 0.00>
ST_1 : Operation 24 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecInterface(i8* %p_src_data_stream_V, [8 x i8]* @ap_fifo_str, i32 0, i32 0, [1 x i8]* @p_str, i32 0, i32 0, [1 x i8]* @p_str, [1 x i8]* @p_str, [1 x i8]* @p_str, i32 2, i32 2, i32 16, i32 16, [1 x i8]* @p_str, [1 x i8]* @p_str)" ---> Operation 24 'specinterface' <Predicate = true> <Delay = 0.00>
ST_1 : Operation 25 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecInterface(i8* %p_dst_data_stream_V, [8 x i8]* @ap_fifo_str, i32 0, i32 0, [1 x i8]* @p_str, i32 0, i32 0, [1 x i8]* @p_str, [1 x i8]* @p_str, [1 x i8]* @p_str, i32 2, i32 2, i32 16, i32 16, [1 x i8]* @p_str, [1 x i8]* @p_str)" ---> Operation 25 'specinterface' <Predicate = true> <Delay = 0.00>
ST_1 : Operation 26 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecInterface(i8* %p_dst_data_stream_V3, [8 x i8]* @ap_fifo_str, i32 0, i32 0, [1 x i8]* @p_str, i32 0, i32 0, [1 x i8]* @p_str, [1 x i8]* @p_str, [1 x i8]* @p_str, i32 2, i32 2, i32 16, i32 16, [1 x i8]* @p_str, [1 x i8]* @p_str)" ---> Operation 26 'specinterface' <Predicate = true> <Delay = 0.00>
ST_1 : Operation 27 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecInterface(i8* %p_dst_data_stream_V4, [8 x i8]* @ap_fifo_str, i32 0, i32 0, [1 x i8]* @p_str, i32 0, i32 0, [1 x i8]* @p_str, [1 x i8]* @p_str, [1 x i8]* @p_str, i32 2, i32 2, i32 16, i32 16, [1 x i8]* @p_str, [1 x i8]* @p_str)" ---> Operation 27 'specinterface' <Predicate = true> <Delay = 0.00>
ST_1 : Operation 28 [1/1] (1.76ns) ---> "br label %0" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526] ---> Operation 28 'br' <Predicate = true> <Delay = 1.76>
State 2 <SV = 1> <Delay = 2.85>
ST_2 : Operation 29 [1/1] (0.00ns) ---> "%i_0 = phi i11 [ 0, %._crit_edge ], [ %i, %loop_height_end ]" ---> Operation 29 'phi' 'i_0' <Predicate = true> <Delay = 0.00>
ST_2 : Operation 30 [1/1] (1.88ns) ---> "%icmp_ln2526 = icmp ult i11 %i_0, -968" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526] ---> Operation 30 'icmp' 'icmp_ln2526' <Predicate = true> <Delay = 1.88> <Core = "Cmp"> ---> Core 25 'Cmp' <Latency = 0> <II = 1> <Delay = 1.88> <FuncUnit> <Opcode : 'icmp'> <InPorts = 2> <OutPorts = 1>
ST_2 : Operation 31 [1/1] (1.63ns) ---> "%i = add i11 %i_0, 1" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526] ---> Operation 31 'add' 'i' <Predicate = true> <Delay = 1.63> <Core = "AddSub"> ---> Core 14 'AddSub' <Latency = 0> <II = 1> <Delay = 1.63> <FuncUnit> <Opcode : 'add' 'sub'> <InPorts = 2> <OutPorts = 1>
ST_2 : Operation 32 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecLoopTripCount(i64 1080, i64 1080, i64 1080)" ---> Operation 32 'speclooptripcount' <Predicate = true> <Delay = 0.00>
ST_2 : Operation 33 [1/1] (0.00ns) ---> "br i1 %icmp_ln2526, label %loop_height_begin, label %2" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526] ---> Operation 33 'br' <Predicate = true> <Delay = 0.00>
ST_2 : Operation 34 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecLoopName([12 x i8]* @p_str7) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526] ---> Operation 34 'specloopname' <Predicate = (icmp_ln2526)> <Delay = 0.00>
ST_2 : Operation 35 [1/1] (0.00ns) ---> "%tmp_18 = call i32 (...)* @_ssdm_op_SpecRegionBegin([12 x i8]* @p_str7)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526] ---> Operation 35 'specregionbegin' 'tmp_18' <Predicate = (icmp_ln2526)> <Delay = 0.00>
ST_2 : Operation 36 [1/1] (1.76ns) ---> "br label %1" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527] ---> Operation 36 'br' <Predicate = (icmp_ln2526)> <Delay = 1.76>
ST_2 : Operation 37 [1/1] (0.00ns) ---> "ret void" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2538] ---> Operation 37 'ret' <Predicate = (!icmp_ln2526)> <Delay = 0.00>
State 3 <SV = 2> <Delay = 1.88>
ST_3 : Operation 38 [1/1] (0.00ns) ---> "%j_0 = phi i11 [ 0, %loop_height_begin ], [ %j, %loop_width_begin ]" ---> Operation 38 'phi' 'j_0' <Predicate = true> <Delay = 0.00>
ST_3 : Operation 39 [1/1] (1.88ns) ---> "%icmp_ln2527 = icmp ult i11 %j_0, -128" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527] ---> Operation 39 'icmp' 'icmp_ln2527' <Predicate = true> <Delay = 1.88> <Core = "Cmp"> ---> Core 25 'Cmp' <Latency = 0> <II = 1> <Delay = 1.88> <FuncUnit> <Opcode : 'icmp'> <InPorts = 2> <OutPorts = 1>
ST_3 : Operation 40 [1/1] (1.63ns) ---> "%j = add i11 %j_0, 1" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527] ---> Operation 40 'add' 'j' <Predicate = true> <Delay = 1.63> <Core = "AddSub"> ---> Core 14 'AddSub' <Latency = 0> <II = 1> <Delay = 1.63> <FuncUnit> <Opcode : 'add' 'sub'> <InPorts = 2> <OutPorts = 1>
ST_3 : Operation 41 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecLoopTripCount(i64 1920, i64 1920, i64 1920)" ---> Operation 41 'speclooptripcount' <Predicate = true> <Delay = 0.00>
ST_3 : Operation 42 [1/1] (0.00ns) ---> "br i1 %icmp_ln2527, label %loop_width_begin, label %loop_height_end" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527] ---> Operation 42 'br' <Predicate = true> <Delay = 0.00>
State 4 <SV = 3> <Delay = 2.93>
ST_4 : Operation 43 [1/1] (0.00ns) ---> "%tmp_20 = call i32 (...)* @_ssdm_op_SpecRegionBegin([12 x i8]* @p_str12)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:672->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:711->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2530] ---> Operation 43 'specregionbegin' 'tmp_20' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_4 : Operation 44 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecProtocol(i32 0, [1 x i8]* @p_str) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:676->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:711->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2530] ---> Operation 44 'specprotocol' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_4 : Operation 45 [1/1] (2.93ns) ---> "%tmp_63 = call i8 @_ssdm_op_Read.ap_fifo.volatile.i8P(i8* %p_src_data_stream_V)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:679->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:711->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2530] ---> Operation 45 'read' 'tmp_63' <Predicate = (icmp_ln2527)> <Delay = 2.93> <Core = "FIFO"> ---> Core 32 'FIFO' <Latency = 0> <II = 1> <Delay = 3.63> <Storage> <Opcode : 'read' 'write' 'nbread' 'nbwrite'> <Ports = 0> <Width = 8> <Depth = 2> <FIFO>
ST_4 : Operation 46 [1/1] (2.93ns) ---> "%tmp_64 = call i8 @_ssdm_op_Read.ap_fifo.volatile.i8P(i8* %p_src_data_stream_V1)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:679->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:711->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2530] ---> Operation 46 'read' 'tmp_64' <Predicate = (icmp_ln2527)> <Delay = 2.93> <Core = "FIFO"> ---> Core 32 'FIFO' <Latency = 0> <II = 1> <Delay = 3.63> <Storage> <Opcode : 'read' 'write' 'nbread' 'nbwrite'> <Ports = 0> <Width = 8> <Depth = 2> <FIFO>
ST_4 : Operation 47 [1/1] (2.93ns) ---> "%tmp_65 = call i8 @_ssdm_op_Read.ap_fifo.volatile.i8P(i8* %p_src_data_stream_V2)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:679->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:711->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2530] ---> Operation 47 'read' 'tmp_65' <Predicate = (icmp_ln2527)> <Delay = 2.93> <Core = "FIFO"> ---> Core 32 'FIFO' <Latency = 0> <II = 1> <Delay = 3.63> <Storage> <Opcode : 'read' 'write' 'nbread' 'nbwrite'> <Ports = 0> <Width = 8> <Depth = 2> <FIFO>
ST_4 : Operation 48 [1/1] (0.00ns) ---> "%empty = call i32 (...)* @_ssdm_op_SpecRegionEnd([12 x i8]* @p_str12, i32 %tmp_20)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:681->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:711->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2530] ---> Operation 48 'specregionend' 'empty' <Predicate = (icmp_ln2527)> <Delay = 0.00>
State 5 <SV = 4> <Delay = 6.56>
ST_5 : Operation 49 [1/1] (0.00ns) ---> "%zext_ln2533 = zext i8 %tmp_63 to i32" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 49 'zext' 'zext_ln2533' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_5 : Operation 50 [8/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 50 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_5 : Operation 51 [1/1] (0.00ns) ---> "%zext_ln2533_1 = zext i8 %tmp_64 to i32" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 51 'zext' 'zext_ln2533_1' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_5 : Operation 52 [8/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 52 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_5 : Operation 53 [1/1] (0.00ns) ---> "%zext_ln2533_2 = zext i8 %tmp_65 to i32" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 53 'zext' 'zext_ln2533_2' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_5 : Operation 54 [8/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 54 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
State 6 <SV = 5> <Delay = 6.56>
ST_6 : Operation 55 [7/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 55 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_6 : Operation 56 [7/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 56 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_6 : Operation 57 [7/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 57 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
State 7 <SV = 6> <Delay = 6.56>
ST_7 : Operation 58 [6/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 58 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_7 : Operation 59 [6/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 59 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_7 : Operation 60 [6/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 60 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
State 8 <SV = 7> <Delay = 6.56>
ST_8 : Operation 61 [5/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 61 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_8 : Operation 62 [5/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 62 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_8 : Operation 63 [5/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 63 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
State 9 <SV = 8> <Delay = 6.56>
ST_9 : Operation 64 [4/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 64 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_9 : Operation 65 [4/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 65 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_9 : Operation 66 [4/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 66 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
State 10 <SV = 9> <Delay = 6.56>
ST_10 : Operation 67 [3/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 67 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_10 : Operation 68 [3/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 68 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_10 : Operation 69 [3/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 69 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
State 11 <SV = 10> <Delay = 6.56>
ST_11 : Operation 70 [2/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 70 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_11 : Operation 71 [2/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 71 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_11 : Operation 72 [2/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 72 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
State 12 <SV = 11> <Delay = 6.56>
ST_12 : Operation 73 [1/8] (6.56ns) ---> "%x_assign = sitofp i32 %zext_ln2533 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 73 'sitodp' 'x_assign' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_12 : Operation 74 [1/1] (0.00ns) ---> "%p_Val2_s = bitcast double %x_assign to i64" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:475->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:479->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:12->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 74 'bitcast' 'p_Val2_s' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_12 : Operation 75 [1/1] (0.00ns) ---> "%trunc_ln368 = trunc i64 %p_Val2_s to i63" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:495->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 75 'trunc' 'trunc_ln368' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_12 : Operation 76 [1/8] (6.56ns) ---> "%x_assign_3 = sitofp i32 %zext_ln2533_1 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 76 'sitodp' 'x_assign_3' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_12 : Operation 77 [1/1] (0.00ns) ---> "%p_Val2_40 = bitcast double %x_assign_3 to i64" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:475->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:479->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:12->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 77 'bitcast' 'p_Val2_40' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_12 : Operation 78 [1/1] (0.00ns) ---> "%trunc_ln368_3 = trunc i64 %p_Val2_40 to i63" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:495->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 78 'trunc' 'trunc_ln368_3' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_12 : Operation 79 [1/8] (6.56ns) ---> "%x_assign_4 = sitofp i32 %zext_ln2533_2 to double" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 79 'sitodp' 'x_assign_4' <Predicate = (icmp_ln2527)> <Delay = 6.56> <Core = "Int2Double"> ---> Core 122 'Int2Double' <Latency = 7> <II = 1> <Delay = 6.56> <FuncUnit> <Opcode : 'sitodp' 'uitodp'> <InPorts = 1> <OutPorts = 1>
ST_12 : Operation 80 [1/1] (0.00ns) ---> "%p_Val2_41 = bitcast double %x_assign_4 to i64" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:475->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:479->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:12->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 80 'bitcast' 'p_Val2_41' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_12 : Operation 81 [1/1] (0.00ns) ---> "%trunc_ln368_4 = trunc i64 %p_Val2_41 to i63" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:495->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 81 'trunc' 'trunc_ln368_4' <Predicate = (icmp_ln2527)> <Delay = 0.00>
State 13 <SV = 12> <Delay = 2.78>
ST_13 : Operation 82 [1/1] (0.00ns) ---> "%p_Result_s = call i64 @_ssdm_op_BitConcatenate.i64.i1.i63(i1 false, i63 %trunc_ln368)" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:495->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 82 'bitconcatenate' 'p_Result_s' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_13 : Operation 83 [1/1] (0.00ns) ---> "%bitcast_ln512 = bitcast i64 %p_Result_s to double" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 83 'bitcast' 'bitcast_ln512' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_13 : Operation 84 [7/7] (2.78ns) ---> "%p_d_val_0 = call fastcc i8 @"operator().1"(double %bitcast_ln512) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 84 'call' 'p_d_val_0' <Predicate = (icmp_ln2527)> <Delay = 2.78> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_13 : Operation 85 [1/1] (0.00ns) ---> "%p_Result_15 = call i64 @_ssdm_op_BitConcatenate.i64.i1.i63(i1 false, i63 %trunc_ln368_3)" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:495->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 85 'bitconcatenate' 'p_Result_15' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_13 : Operation 86 [1/1] (0.00ns) ---> "%bitcast_ln512_3 = bitcast i64 %p_Result_15 to double" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 86 'bitcast' 'bitcast_ln512_3' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_13 : Operation 87 [7/7] (2.78ns) ---> "%p_d_val_1 = call fastcc i8 @"operator().1"(double %bitcast_ln512_3) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 87 'call' 'p_d_val_1' <Predicate = (icmp_ln2527)> <Delay = 2.78> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_13 : Operation 88 [1/1] (0.00ns) ---> "%p_Result_16 = call i64 @_ssdm_op_BitConcatenate.i64.i1.i63(i1 false, i63 %trunc_ln368_4)" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:495->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 88 'bitconcatenate' 'p_Result_16' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_13 : Operation 89 [1/1] (0.00ns) ---> "%bitcast_ln512_4 = bitcast i64 %p_Result_16 to double" [r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13->r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 89 'bitcast' 'bitcast_ln512_4' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_13 : Operation 90 [7/7] (2.78ns) ---> "%tmp_24 = call fastcc i8 @"operator().1"(double %bitcast_ln512_4) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 90 'call' 'tmp_24' <Predicate = (icmp_ln2527)> <Delay = 2.78> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
State 14 <SV = 13> <Delay = 5.60>
ST_14 : Operation 91 [6/7] (5.60ns) ---> "%p_d_val_0 = call fastcc i8 @"operator().1"(double %bitcast_ln512) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 91 'call' 'p_d_val_0' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_14 : Operation 92 [6/7] (5.60ns) ---> "%p_d_val_1 = call fastcc i8 @"operator().1"(double %bitcast_ln512_3) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 92 'call' 'p_d_val_1' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_14 : Operation 93 [6/7] (5.60ns) ---> "%tmp_24 = call fastcc i8 @"operator().1"(double %bitcast_ln512_4) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 93 'call' 'tmp_24' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
State 15 <SV = 14> <Delay = 5.60>
ST_15 : Operation 94 [5/7] (5.60ns) ---> "%p_d_val_0 = call fastcc i8 @"operator().1"(double %bitcast_ln512) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 94 'call' 'p_d_val_0' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_15 : Operation 95 [5/7] (5.60ns) ---> "%p_d_val_1 = call fastcc i8 @"operator().1"(double %bitcast_ln512_3) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 95 'call' 'p_d_val_1' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_15 : Operation 96 [5/7] (5.60ns) ---> "%tmp_24 = call fastcc i8 @"operator().1"(double %bitcast_ln512_4) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 96 'call' 'tmp_24' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
State 16 <SV = 15> <Delay = 5.60>
ST_16 : Operation 97 [4/7] (5.60ns) ---> "%p_d_val_0 = call fastcc i8 @"operator().1"(double %bitcast_ln512) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 97 'call' 'p_d_val_0' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_16 : Operation 98 [4/7] (5.60ns) ---> "%p_d_val_1 = call fastcc i8 @"operator().1"(double %bitcast_ln512_3) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 98 'call' 'p_d_val_1' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_16 : Operation 99 [4/7] (5.60ns) ---> "%tmp_24 = call fastcc i8 @"operator().1"(double %bitcast_ln512_4) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 99 'call' 'tmp_24' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
State 17 <SV = 16> <Delay = 5.60>
ST_17 : Operation 100 [3/7] (5.60ns) ---> "%p_d_val_0 = call fastcc i8 @"operator().1"(double %bitcast_ln512) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 100 'call' 'p_d_val_0' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_17 : Operation 101 [3/7] (5.60ns) ---> "%p_d_val_1 = call fastcc i8 @"operator().1"(double %bitcast_ln512_3) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 101 'call' 'p_d_val_1' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_17 : Operation 102 [3/7] (5.60ns) ---> "%tmp_24 = call fastcc i8 @"operator().1"(double %bitcast_ln512_4) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 102 'call' 'tmp_24' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
State 18 <SV = 17> <Delay = 5.60>
ST_18 : Operation 103 [2/7] (5.60ns) ---> "%p_d_val_0 = call fastcc i8 @"operator().1"(double %bitcast_ln512) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 103 'call' 'p_d_val_0' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_18 : Operation 104 [2/7] (5.60ns) ---> "%p_d_val_1 = call fastcc i8 @"operator().1"(double %bitcast_ln512_3) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 104 'call' 'p_d_val_1' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_18 : Operation 105 [2/7] (5.60ns) ---> "%tmp_24 = call fastcc i8 @"operator().1"(double %bitcast_ln512_4) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 105 'call' 'tmp_24' <Predicate = (icmp_ln2527)> <Delay = 5.60> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
State 19 <SV = 18> <Delay = 3.47>
ST_19 : Operation 106 [1/7] (3.47ns) ---> "%p_d_val_0 = call fastcc i8 @"operator().1"(double %bitcast_ln512) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 106 'call' 'p_d_val_0' <Predicate = (icmp_ln2527)> <Delay = 3.47> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_19 : Operation 107 [1/7] (3.47ns) ---> "%p_d_val_1 = call fastcc i8 @"operator().1"(double %bitcast_ln512_3) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 107 'call' 'p_d_val_1' <Predicate = (icmp_ln2527)> <Delay = 3.47> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
ST_19 : Operation 108 [1/7] (3.47ns) ---> "%tmp_24 = call fastcc i8 @"operator().1"(double %bitcast_ln512_4) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533] ---> Operation 108 'call' 'tmp_24' <Predicate = (icmp_ln2527)> <Delay = 3.47> <CoreType = "Generic"> ---> Core 0 '' <Latency = 0> <II = 1> <Delay = 1.00> <Generic> <Opcode : >
State 20 <SV = 19> <Delay = 2.93>
ST_20 : Operation 109 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecLoopName([11 x i8]* @p_str8) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527] ---> Operation 109 'specloopname' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_20 : Operation 110 [1/1] (0.00ns) ---> "%tmp_19 = call i32 (...)* @_ssdm_op_SpecRegionBegin([11 x i8]* @p_str8)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527] ---> Operation 110 'specregionbegin' 'tmp_19' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_20 : Operation 111 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecPipeline(i32 -1, i32 1, i32 1, i32 0, [1 x i8]* @p_str) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2529] ---> Operation 111 'specpipeline' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_20 : Operation 112 [1/1] (0.00ns) ---> "%tmp_s = call i32 (...)* @_ssdm_op_SpecRegionBegin([12 x i8]* @p_str16)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:696->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:717->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2535] ---> Operation 112 'specregionbegin' 'tmp_s' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_20 : Operation 113 [1/1] (0.00ns) ---> "call void (...)* @_ssdm_op_SpecProtocol(i32 0, [1 x i8]* @p_str) nounwind" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:700->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:717->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2535] ---> Operation 113 'specprotocol' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_20 : Operation 114 [1/1] (2.93ns) ---> "call void @_ssdm_op_Write.ap_fifo.volatile.i8P(i8* %p_dst_data_stream_V, i8 %p_d_val_0)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:703->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:717->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2535] ---> Operation 114 'write' <Predicate = (icmp_ln2527)> <Delay = 2.93> <Core = "FIFO"> ---> Core 32 'FIFO' <Latency = 0> <II = 1> <Delay = 3.63> <Storage> <Opcode : 'read' 'write' 'nbread' 'nbwrite'> <Ports = 0> <Width = 8> <Depth = 2> <FIFO>
ST_20 : Operation 115 [1/1] (2.93ns) ---> "call void @_ssdm_op_Write.ap_fifo.volatile.i8P(i8* %p_dst_data_stream_V3, i8 %p_d_val_1)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:703->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:717->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2535] ---> Operation 115 'write' <Predicate = (icmp_ln2527)> <Delay = 2.93> <Core = "FIFO"> ---> Core 32 'FIFO' <Latency = 0> <II = 1> <Delay = 3.63> <Storage> <Opcode : 'read' 'write' 'nbread' 'nbwrite'> <Ports = 0> <Width = 8> <Depth = 2> <FIFO>
ST_20 : Operation 116 [1/1] (2.93ns) ---> "call void @_ssdm_op_Write.ap_fifo.volatile.i8P(i8* %p_dst_data_stream_V4, i8 %tmp_24)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:703->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:717->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2535] ---> Operation 116 'write' <Predicate = (icmp_ln2527)> <Delay = 2.93> <Core = "FIFO"> ---> Core 32 'FIFO' <Latency = 0> <II = 1> <Delay = 3.63> <Storage> <Opcode : 'read' 'write' 'nbread' 'nbwrite'> <Ports = 0> <Width = 8> <Depth = 2> <FIFO>
ST_20 : Operation 117 [1/1] (0.00ns) ---> "%empty_105 = call i32 (...)* @_ssdm_op_SpecRegionEnd([12 x i8]* @p_str16, i32 %tmp_s)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:705->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:717->C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2535] ---> Operation 117 'specregionend' 'empty_105' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_20 : Operation 118 [1/1] (0.00ns) ---> "%empty_106 = call i32 (...)* @_ssdm_op_SpecRegionEnd([11 x i8]* @p_str8, i32 %tmp_19)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2536] ---> Operation 118 'specregionend' 'empty_106' <Predicate = (icmp_ln2527)> <Delay = 0.00>
ST_20 : Operation 119 [1/1] (0.00ns) ---> "br label %1" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527] ---> Operation 119 'br' <Predicate = (icmp_ln2527)> <Delay = 0.00>
State 21 <SV = 3> <Delay = 0.00>
ST_21 : Operation 120 [1/1] (0.00ns) ---> "%empty_107 = call i32 (...)* @_ssdm_op_SpecRegionEnd([12 x i8]* @p_str7, i32 %tmp_18)" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2537] ---> Operation 120 'specregionend' 'empty_107' <Predicate = true> <Delay = 0.00>
ST_21 : Operation 121 [1/1] (0.00ns) ---> "br label %0" [C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2526] ---> Operation 121 'br' <Predicate = true> <Delay = 0.00>
============================================================
+ Verbose Summary: Binding
============================================================
STG Binding:
---------------- STG Properties BEGIN ----------------
- Is combinational: 0
- Is one-state seq: 0
- Is datapath-only: 0
- Is pipelined: 0
- Is top level: 0
Port [ Return ] is wired: 1; IO mode=ap_ctrl_hs:ce=0
Port [ p_src_data_stream_V]: wired=1; compound=1; hidden=0; nouse=0; global=0; static=0; extern=0; dir=0; type=3; pingpong=0; private_global=0; IO mode=ap_fifo:ce=0
Port [ p_src_data_stream_V1]: wired=1; compound=1; hidden=0; nouse=0; global=0; static=0; extern=0; dir=0; type=3; pingpong=0; private_global=0; IO mode=ap_fifo:ce=0
Port [ p_src_data_stream_V2]: wired=1; compound=1; hidden=0; nouse=0; global=0; static=0; extern=0; dir=0; type=3; pingpong=0; private_global=0; IO mode=ap_fifo:ce=0
Port [ p_dst_data_stream_V]: wired=1; compound=1; hidden=0; nouse=0; global=0; static=0; extern=0; dir=1; type=3; pingpong=0; private_global=0; IO mode=ap_fifo:ce=0
Port [ p_dst_data_stream_V3]: wired=1; compound=1; hidden=0; nouse=0; global=0; static=0; extern=0; dir=1; type=3; pingpong=0; private_global=0; IO mode=ap_fifo:ce=0
Port [ p_dst_data_stream_V4]: wired=1; compound=1; hidden=0; nouse=0; global=0; static=0; extern=0; dir=1; type=3; pingpong=0; private_global=0; IO mode=ap_fifo:ce=0
---------------- STG Properties END ------------------
---------------- Datapath Model BEGIN ----------------
<LifeTime>
<method=bitvector/>
specinterface_ln0 (specinterface ) [ 0000000000000000000000]
specinterface_ln0 (specinterface ) [ 0000000000000000000000]
specinterface_ln0 (specinterface ) [ 0000000000000000000000]
specinterface_ln0 (specinterface ) [ 0000000000000000000000]
specinterface_ln0 (specinterface ) [ 0000000000000000000000]
specinterface_ln0 (specinterface ) [ 0000000000000000000000]
br_ln2526 (br ) [ 0111111111111111111111]
i_0 (phi ) [ 0010000000000000000000]
icmp_ln2526 (icmp ) [ 0011111111111111111111]
i (add ) [ 0111111111111111111111]
speclooptripcount_ln0 (speclooptripcount) [ 0000000000000000000000]
br_ln2526 (br ) [ 0000000000000000000000]
specloopname_ln2526 (specloopname ) [ 0000000000000000000000]
tmp_18 (specregionbegin ) [ 0001111111111111111111]
br_ln2527 (br ) [ 0011111111111111111111]
ret_ln2538 (ret ) [ 0000000000000000000000]
j_0 (phi ) [ 0001000000000000000000]
icmp_ln2527 (icmp ) [ 0011111111111111111111]
j (add ) [ 0011111111111111111111]
speclooptripcount_ln0 (speclooptripcount) [ 0000000000000000000000]
br_ln2527 (br ) [ 0000000000000000000000]
tmp_20 (specregionbegin ) [ 0000000000000000000000]
specprotocol_ln676 (specprotocol ) [ 0000000000000000000000]
tmp_63 (read ) [ 0001010000000000000000]
tmp_64 (read ) [ 0001010000000000000000]
tmp_65 (read ) [ 0001010000000000000000]
empty (specregionend ) [ 0000000000000000000000]
zext_ln2533 (zext ) [ 0001001111111000000000]
zext_ln2533_1 (zext ) [ 0001001111111000000000]
zext_ln2533_2 (zext ) [ 0001001111111000000000]
x_assign (sitodp ) [ 0000000000000000000000]
p_Val2_s (bitcast ) [ 0000000000000000000000]
trunc_ln368 (trunc ) [ 0001000000000100000000]
x_assign_3 (sitodp ) [ 0000000000000000000000]
p_Val2_40 (bitcast ) [ 0000000000000000000000]
trunc_ln368_3 (trunc ) [ 0001000000000100000000]
x_assign_4 (sitodp ) [ 0000000000000000000000]
p_Val2_41 (bitcast ) [ 0000000000000000000000]
trunc_ln368_4 (trunc ) [ 0001000000000100000000]
p_Result_s (bitconcatenate ) [ 0000000000000000000000]
bitcast_ln512 (bitcast ) [ 0001000000000011111100]
p_Result_15 (bitconcatenate ) [ 0000000000000000000000]
bitcast_ln512_3 (bitcast ) [ 0001000000000011111100]
p_Result_16 (bitconcatenate ) [ 0000000000000000000000]
bitcast_ln512_4 (bitcast ) [ 0001000000000011111100]
p_d_val_0 (call ) [ 0001000000000000000010]
p_d_val_1 (call ) [ 0001000000000000000010]
tmp_24 (call ) [ 0001000000000000000010]
specloopname_ln2527 (specloopname ) [ 0000000000000000000000]
tmp_19 (specregionbegin ) [ 0000000000000000000000]
specpipeline_ln2529 (specpipeline ) [ 0000000000000000000000]
tmp_s (specregionbegin ) [ 0000000000000000000000]
specprotocol_ln700 (specprotocol ) [ 0000000000000000000000]
write_ln703 (write ) [ 0000000000000000000000]
write_ln703 (write ) [ 0000000000000000000000]
write_ln703 (write ) [ 0000000000000000000000]
empty_105 (specregionend ) [ 0000000000000000000000]
empty_106 (specregionend ) [ 0000000000000000000000]
br_ln2527 (br ) [ 0011111111111111111111]
empty_107 (specregionend ) [ 0000000000000000000000]
br_ln2526 (br ) [ 0111111111111111111111]
</LifeTime>
<model>
<comp_list>
<comp id="0" class="1000" name="p_src_data_stream_V">
<pin_list>
<pin id="1" dir="1" index="0" bw="1" slack="0"/>
</pin_list>
<bind>
<StgValue><ssdm name="p_src_data_stream_V"/></StgValue>
</bind>
</comp>
<comp id="2" class="1000" name="p_src_data_stream_V1">
<pin_list>
<pin id="3" dir="1" index="0" bw="1" slack="0"/>
</pin_list>
<bind>
<StgValue><ssdm name="p_src_data_stream_V1"/></StgValue>
</bind>
</comp>
<comp id="4" class="1000" name="p_src_data_stream_V2">
<pin_list>
<pin id="5" dir="1" index="0" bw="1" slack="0"/>
</pin_list>
<bind>
<StgValue><ssdm name="p_src_data_stream_V2"/></StgValue>
</bind>
</comp>
<comp id="6" class="1000" name="p_dst_data_stream_V">
<pin_list>
<pin id="7" dir="1" index="0" bw="1" slack="0"/>
</pin_list>
<bind>
<StgValue><ssdm name="p_dst_data_stream_V"/></StgValue>
</bind>
</comp>
<comp id="8" class="1000" name="p_dst_data_stream_V3">
<pin_list>
<pin id="9" dir="1" index="0" bw="1" slack="0"/>
</pin_list>
<bind>
<StgValue><ssdm name="p_dst_data_stream_V3"/></StgValue>
</bind>
</comp>
<comp id="10" class="1000" name="p_dst_data_stream_V4">
<pin_list>
<pin id="11" dir="1" index="0" bw="1" slack="0"/>
</pin_list>
<bind>
<StgValue><ssdm name="p_dst_data_stream_V4"/></StgValue>
</bind>
</comp>
<comp id="12" class="1001" name="const_12">
<pin_list>
<pin id="13" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name="_ssdm_op_SpecInterface"/></StgValue>
</bind>
</comp>
<comp id="14" class="1001" name="const_14">
<pin_list>
<pin id="15" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name="ap_fifo_str"/></StgValue>
</bind>
</comp>
<comp id="16" class="1001" name="const_16">
<pin_list>
<pin id="17" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name=""/></StgValue>
</bind>
</comp>
<comp id="18" class="1001" name="const_18">
<pin_list>
<pin id="19" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name="p_str"/></StgValue>
</bind>
</comp>
<comp id="20" class="1001" name="const_20">
<pin_list>
<pin id="21" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name=""/></StgValue>
</bind>
</comp>
<comp id="22" class="1001" name="const_22">
<pin_list>
<pin id="23" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name=""/></StgValue>
</bind>
</comp>
<comp id="24" class="1001" name="const_24">
<pin_list>
<pin id="25" dir="1" index="0" bw="1" slack="1"/>
</pin_list>
<bind>
<StgValue><ssdm name=""/></StgValue>
</bind>
</comp>
<comp id="26" class="1001" name="const_26">
<pin_list>
<pin id="27" dir="1" index="0" bw="1" slack="0"/>
</pin_list>
<bind>
<StgValue><ssdm name=""/></StgValue>
</bind>
</comp>
<comp id="28" class="1001" name="const_28">
<pin_list>
<pin id="29" dir="1" index="0" bw="1" slack="0"/>
</pin_list>
<bind>
<StgValue><ssdm name=""/></StgValue>
</bind>
</comp>
<comp id="30" class="1001" name="const_30">
<pin_list>
<pin id="31" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name="_ssdm_op_SpecLoopTripCount"/></StgValue>
</bind>
</comp>
<comp id="32" class="1001" name="const_32">
<pin_list>
<pin id="33" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name=""/></StgValue>
</bind>
</comp>
<comp id="34" class="1001" name="const_34">
<pin_list>
<pin id="35" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name="_ssdm_op_SpecLoopName"/></StgValue>
</bind>
</comp>
<comp id="36" class="1001" name="const_36">
<pin_list>
<pin id="37" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name="p_str7"/></StgValue>
</bind>
</comp>
<comp id="38" class="1001" name="const_38">
<pin_list>
<pin id="39" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name="_ssdm_op_SpecRegionBegin"/></StgValue>
</bind>
</comp>
<comp id="40" class="1001" name="const_40">
<pin_list>
<pin id="41" dir="1" index="0" bw="1" slack="0"/>
</pin_list>
<bind>
<StgValue><ssdm name=""/></StgValue>
</bind>
</comp>
<comp id="42" class="1001" name="const_42">
<pin_list>
<pin id="43" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name=""/></StgValue>
</bind>
</comp>
<comp id="44" class="1001" name="const_44">
<pin_list>
<pin id="45" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name="p_str12"/></StgValue>
</bind>
</comp>
<comp id="46" class="1001" name="const_46">
<pin_list>
<pin id="47" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name="_ssdm_op_SpecProtocol"/></StgValue>
</bind>
</comp>
<comp id="48" class="1001" name="const_48">
<pin_list>
<pin id="49" dir="1" index="0" bw="1" slack="0"/>
</pin_list>
<bind>
<StgValue><ssdm name="_ssdm_op_Read.ap_fifo.volatile.i8P"/></StgValue>
</bind>
</comp>
<comp id="50" class="1001" name="const_50">
<pin_list>
<pin id="51" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name="_ssdm_op_SpecRegionEnd"/></StgValue>
</bind>
</comp>
<comp id="52" class="1001" name="const_52">
<pin_list>
<pin id="53" dir="1" index="0" bw="1" slack="0"/>
</pin_list>
<bind>
<StgValue><ssdm name="_ssdm_op_BitConcatenate.i64.i1.i63"/></StgValue>
</bind>
</comp>
<comp id="54" class="1001" name="const_54">
<pin_list>
<pin id="55" dir="1" index="0" bw="1" slack="0"/>
</pin_list>
<bind>
<StgValue><ssdm name=""/></StgValue>
</bind>
</comp>
<comp id="56" class="1001" name="const_56">
<pin_list>
<pin id="57" dir="1" index="0" bw="1" slack="0"/>
</pin_list>
<bind>
<StgValue><ssdm name="operator().1"/></StgValue>
</bind>
</comp>
<comp id="58" class="1001" name="const_58">
<pin_list>
<pin id="59" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name="p_str8"/></StgValue>
</bind>
</comp>
<comp id="60" class="1001" name="const_60">
<pin_list>
<pin id="61" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name="_ssdm_op_SpecPipeline"/></StgValue>
</bind>
</comp>
<comp id="62" class="1001" name="const_62">
<pin_list>
<pin id="63" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name=""/></StgValue>
</bind>
</comp>
<comp id="64" class="1001" name="const_64">
<pin_list>
<pin id="65" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name=""/></StgValue>
</bind>
</comp>
<comp id="66" class="1001" name="const_66">
<pin_list>
<pin id="67" dir="1" index="0" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<StgValue><ssdm name="p_str16"/></StgValue>
</bind>
</comp>
<comp id="68" class="1001" name="const_68">
<pin_list>
<pin id="69" dir="1" index="0" bw="1" slack="0"/>
</pin_list>
<bind>
<StgValue><ssdm name="_ssdm_op_Write.ap_fifo.volatile.i8P"/></StgValue>
</bind>
</comp>
<comp id="70" class="1004" name="tmp_63_read_fu_70">
<pin_list>
<pin id="71" dir="0" index="0" bw="8" slack="0"/>
<pin id="72" dir="0" index="1" bw="8" slack="0"/>
<pin id="73" dir="1" index="2" bw="8" slack="1"/>
</pin_list>
<bind>
<opcode="read(1150) " fcode="read"/>
<opset="tmp_63/4 "/>
</bind>
</comp>
<comp id="76" class="1004" name="tmp_64_read_fu_76">
<pin_list>
<pin id="77" dir="0" index="0" bw="8" slack="0"/>
<pin id="78" dir="0" index="1" bw="8" slack="0"/>
<pin id="79" dir="1" index="2" bw="8" slack="1"/>
</pin_list>
<bind>
<opcode="read(1150) " fcode="read"/>
<opset="tmp_64/4 "/>
</bind>
</comp>
<comp id="82" class="1004" name="tmp_65_read_fu_82">
<pin_list>
<pin id="83" dir="0" index="0" bw="8" slack="0"/>
<pin id="84" dir="0" index="1" bw="8" slack="0"/>
<pin id="85" dir="1" index="2" bw="8" slack="1"/>
</pin_list>
<bind>
<opcode="read(1150) " fcode="read"/>
<opset="tmp_65/4 "/>
</bind>
</comp>
<comp id="88" class="1004" name="write_ln703_write_fu_88">
<pin_list>
<pin id="89" dir="0" index="0" bw="0" slack="0"/>
<pin id="90" dir="0" index="1" bw="8" slack="0"/>
<pin id="91" dir="0" index="2" bw="8" slack="1"/>
<pin id="92" dir="1" index="3" bw="0" slack="2147483647"/>
</pin_list>
<bind>
<opcode="write(1151) " fcode="write"/>
<opset="write_ln703/20 "/>
</bind>
</comp>
<comp id="95" class="1004" name="write_ln703_write_fu_95">
<pin_list>
<pin id="96" dir="0" index="0" bw="0" slack="0"/>
<pin id="97" dir="0" index="1" bw="8" slack="0"/>
<pin id="98" dir="0" index="2" bw="8" slack="1"/>
<pin id="99" dir="1" index="3" bw="0" slack="2147483647"/>
</pin_list>
<bind>
<opcode="write(1151) " fcode="write"/>
<opset="write_ln703/20 "/>
</bind>
</comp>
<comp id="102" class="1004" name="write_ln703_write_fu_102">
<pin_list>
<pin id="103" dir="0" index="0" bw="0" slack="0"/>
<pin id="104" dir="0" index="1" bw="8" slack="0"/>
<pin id="105" dir="0" index="2" bw="8" slack="1"/>
<pin id="106" dir="1" index="3" bw="0" slack="2147483647"/>
</pin_list>
<bind>
<opcode="write(1151) " fcode="write"/>
<opset="write_ln703/20 "/>
</bind>
</comp>
<comp id="109" class="1005" name="i_0_reg_109">
<pin_list>
<pin id="110" dir="0" index="0" bw="11" slack="1"/>
<pin id="111" dir="1" index="1" bw="11" slack="1"/>
</pin_list>
<bind>
<opset="i_0 (phireg) "/>
</bind>
</comp>
<comp id="113" class="1004" name="i_0_phi_fu_113">
<pin_list>
<pin id="114" dir="0" index="0" bw="1" slack="1"/>
<pin id="115" dir="0" index="1" bw="0" slack="2147483647"/>
<pin id="116" dir="0" index="2" bw="11" slack="0"/>
<pin id="117" dir="0" index="3" bw="0" slack="2147483647"/>
<pin id="118" dir="1" index="4" bw="11" slack="0"/>
</pin_list>
<bind>
<opcode="phi(47) " fcode="phi"/>
<opset="i_0/2 "/>
</bind>
</comp>
<comp id="120" class="1005" name="j_0_reg_120">
<pin_list>
<pin id="121" dir="0" index="0" bw="11" slack="1"/>
<pin id="122" dir="1" index="1" bw="11" slack="1"/>
</pin_list>
<bind>
<opset="j_0 (phireg) "/>
</bind>
</comp>
<comp id="124" class="1004" name="j_0_phi_fu_124">
<pin_list>
<pin id="125" dir="0" index="0" bw="1" slack="1"/>
<pin id="126" dir="0" index="1" bw="0" slack="2147483647"/>
<pin id="127" dir="0" index="2" bw="11" slack="0"/>
<pin id="128" dir="0" index="3" bw="0" slack="2147483647"/>
<pin id="129" dir="1" index="4" bw="11" slack="0"/>
</pin_list>
<bind>
<opcode="phi(47) " fcode="phi"/>
<opset="j_0/3 "/>
</bind>
</comp>
<comp id="131" class="1004" name="grp_operator_1_fu_131">
<pin_list>
<pin id="132" dir="0" index="0" bw="8" slack="0"/>
<pin id="133" dir="0" index="1" bw="64" slack="0"/>
<pin id="134" dir="1" index="2" bw="8" slack="1"/>
</pin_list>
<bind>
<opcode="call(48) " fcode="call"/>
<opset="p_d_val_0/13 "/>
</bind>
</comp>
<comp id="136" class="1004" name="grp_operator_1_fu_136">
<pin_list>
<pin id="137" dir="0" index="0" bw="8" slack="0"/>
<pin id="138" dir="0" index="1" bw="64" slack="0"/>
<pin id="139" dir="1" index="2" bw="8" slack="1"/>
</pin_list>
<bind>
<opcode="call(48) " fcode="call"/>
<opset="p_d_val_1/13 "/>
</bind>
</comp>
<comp id="141" class="1004" name="grp_operator_1_fu_141">
<pin_list>
<pin id="142" dir="0" index="0" bw="8" slack="0"/>
<pin id="143" dir="0" index="1" bw="64" slack="0"/>
<pin id="144" dir="1" index="2" bw="8" slack="1"/>
</pin_list>
<bind>
<opcode="call(48) " fcode="call"/>
<opset="tmp_24/13 "/>
</bind>
</comp>
<comp id="146" class="1004" name="grp_fu_146">
<pin_list>
<pin id="147" dir="0" index="0" bw="8" slack="0"/>
<pin id="148" dir="1" index="1" bw="64" slack="0"/>
</pin_list>
<bind>
<opcode="sitodp(517) " fcode="sitodp"/>
<opset="x_assign/5 "/>
</bind>
</comp>
<comp id="149" class="1004" name="grp_fu_149">
<pin_list>
<pin id="150" dir="0" index="0" bw="8" slack="0"/>
<pin id="151" dir="1" index="1" bw="64" slack="0"/>
</pin_list>
<bind>
<opcode="sitodp(517) " fcode="sitodp"/>
<opset="x_assign_3/5 "/>
</bind>
</comp>
<comp id="152" class="1004" name="grp_fu_152">
<pin_list>
<pin id="153" dir="0" index="0" bw="8" slack="0"/>
<pin id="154" dir="1" index="1" bw="64" slack="0"/>
</pin_list>
<bind>
<opcode="sitodp(517) " fcode="sitodp"/>
<opset="x_assign_4/5 "/>
</bind>
</comp>
<comp id="155" class="1004" name="icmp_ln2526_fu_155">
<pin_list>
<pin id="156" dir="0" index="0" bw="11" slack="0"/>
<pin id="157" dir="0" index="1" bw="11" slack="0"/>
<pin id="158" dir="1" index="2" bw="1" slack="1"/>
</pin_list>
<bind>
<opcode="icmp(45) " fcode="icmp"/>
<opset="icmp_ln2526/2 "/>
</bind>
</comp>
<comp id="161" class="1004" name="i_fu_161">
<pin_list>
<pin id="162" dir="0" index="0" bw="11" slack="0"/>
<pin id="163" dir="0" index="1" bw="1" slack="0"/>
<pin id="164" dir="1" index="2" bw="11" slack="0"/>
</pin_list>
<bind>
<opcode="add(8) " fcode="add"/>
<opset="i/2 "/>
</bind>
</comp>
<comp id="167" class="1004" name="icmp_ln2527_fu_167">
<pin_list>
<pin id="168" dir="0" index="0" bw="11" slack="0"/>
<pin id="169" dir="0" index="1" bw="11" slack="0"/>
<pin id="170" dir="1" index="2" bw="1" slack="1"/>
</pin_list>
<bind>
<opcode="icmp(45) " fcode="icmp"/>
<opset="icmp_ln2527/3 "/>
</bind>
</comp>
<comp id="173" class="1004" name="j_fu_173">
<pin_list>
<pin id="174" dir="0" index="0" bw="11" slack="0"/>
<pin id="175" dir="0" index="1" bw="1" slack="0"/>
<pin id="176" dir="1" index="2" bw="11" slack="0"/>
</pin_list>
<bind>
<opcode="add(8) " fcode="add"/>
<opset="j/3 "/>
</bind>
</comp>
<comp id="179" class="1004" name="zext_ln2533_fu_179">
<pin_list>
<pin id="180" dir="0" index="0" bw="8" slack="1"/>
<pin id="181" dir="1" index="1" bw="32" slack="0"/>
</pin_list>
<bind>
<opcode="zext(34) " fcode="zext"/>
<opset="zext_ln2533/5 "/>
</bind>
</comp>
<comp id="183" class="1004" name="zext_ln2533_1_fu_183">
<pin_list>
<pin id="184" dir="0" index="0" bw="8" slack="1"/>
<pin id="185" dir="1" index="1" bw="32" slack="0"/>
</pin_list>
<bind>
<opcode="zext(34) " fcode="zext"/>
<opset="zext_ln2533_1/5 "/>
</bind>
</comp>
<comp id="187" class="1004" name="zext_ln2533_2_fu_187">
<pin_list>
<pin id="188" dir="0" index="0" bw="8" slack="1"/>
<pin id="189" dir="1" index="1" bw="32" slack="0"/>
</pin_list>
<bind>
<opcode="zext(34) " fcode="zext"/>
<opset="zext_ln2533_2/5 "/>
</bind>
</comp>
<comp id="191" class="1004" name="p_Val2_s_fu_191">
<pin_list>
<pin id="192" dir="0" index="0" bw="64" slack="0"/>
<pin id="193" dir="1" index="1" bw="64" slack="0"/>
</pin_list>
<bind>
<opcode="bitcast(44) " fcode="bitcast"/>
<opset="p_Val2_s/12 "/>
</bind>
</comp>
<comp id="195" class="1004" name="trunc_ln368_fu_195">
<pin_list>
<pin id="196" dir="0" index="0" bw="64" slack="0"/>
<pin id="197" dir="1" index="1" bw="63" slack="1"/>
</pin_list>
<bind>
<opcode="trunc(33) " fcode="trunc"/>
<opset="trunc_ln368/12 "/>
</bind>
</comp>
<comp id="199" class="1004" name="p_Val2_40_fu_199">
<pin_list>
<pin id="200" dir="0" index="0" bw="64" slack="0"/>
<pin id="201" dir="1" index="1" bw="64" slack="0"/>
</pin_list>
<bind>
<opcode="bitcast(44) " fcode="bitcast"/>
<opset="p_Val2_40/12 "/>
</bind>
</comp>
<comp id="203" class="1004" name="trunc_ln368_3_fu_203">
<pin_list>
<pin id="204" dir="0" index="0" bw="64" slack="0"/>
<pin id="205" dir="1" index="1" bw="63" slack="1"/>
</pin_list>
<bind>
<opcode="trunc(33) " fcode="trunc"/>
<opset="trunc_ln368_3/12 "/>
</bind>
</comp>
<comp id="207" class="1004" name="p_Val2_41_fu_207">
<pin_list>
<pin id="208" dir="0" index="0" bw="64" slack="0"/>
<pin id="209" dir="1" index="1" bw="64" slack="0"/>
</pin_list>
<bind>
<opcode="bitcast(44) " fcode="bitcast"/>
<opset="p_Val2_41/12 "/>
</bind>
</comp>
<comp id="211" class="1004" name="trunc_ln368_4_fu_211">
<pin_list>
<pin id="212" dir="0" index="0" bw="64" slack="0"/>
<pin id="213" dir="1" index="1" bw="63" slack="1"/>
</pin_list>
<bind>
<opcode="trunc(33) " fcode="trunc"/>
<opset="trunc_ln368_4/12 "/>
</bind>
</comp>
<comp id="215" class="1004" name="p_Result_s_fu_215">
<pin_list>
<pin id="216" dir="0" index="0" bw="64" slack="0"/>
<pin id="217" dir="0" index="1" bw="1" slack="0"/>
<pin id="218" dir="0" index="2" bw="63" slack="1"/>
<pin id="219" dir="1" index="3" bw="64" slack="0"/>
</pin_list>
<bind>
<opcode="bitconcatenate(1004) " fcode="bitconcatenate"/>
<opset="p_Result_s/13 "/>
</bind>
</comp>
<comp id="222" class="1004" name="bitcast_ln512_fu_222">
<pin_list>
<pin id="223" dir="0" index="0" bw="64" slack="0"/>
<pin id="224" dir="1" index="1" bw="64" slack="0"/>
</pin_list>
<bind>
<opcode="bitcast(44) " fcode="bitcast"/>
<opset="bitcast_ln512/13 "/>
</bind>
</comp>
<comp id="227" class="1004" name="p_Result_15_fu_227">
<pin_list>
<pin id="228" dir="0" index="0" bw="64" slack="0"/>
<pin id="229" dir="0" index="1" bw="1" slack="0"/>
<pin id="230" dir="0" index="2" bw="63" slack="1"/>
<pin id="231" dir="1" index="3" bw="64" slack="0"/>
</pin_list>
<bind>
<opcode="bitconcatenate(1004) " fcode="bitconcatenate"/>
<opset="p_Result_15/13 "/>
</bind>
</comp>
<comp id="234" class="1004" name="bitcast_ln512_3_fu_234">
<pin_list>
<pin id="235" dir="0" index="0" bw="64" slack="0"/>
<pin id="236" dir="1" index="1" bw="64" slack="0"/>
</pin_list>
<bind>
<opcode="bitcast(44) " fcode="bitcast"/>
<opset="bitcast_ln512_3/13 "/>
</bind>
</comp>
<comp id="239" class="1004" name="p_Result_16_fu_239">
<pin_list>
<pin id="240" dir="0" index="0" bw="64" slack="0"/>
<pin id="241" dir="0" index="1" bw="1" slack="0"/>
<pin id="242" dir="0" index="2" bw="63" slack="1"/>
<pin id="243" dir="1" index="3" bw="64" slack="0"/>
</pin_list>
<bind>
<opcode="bitconcatenate(1004) " fcode="bitconcatenate"/>
<opset="p_Result_16/13 "/>
</bind>
</comp>
<comp id="246" class="1004" name="bitcast_ln512_4_fu_246">
<pin_list>
<pin id="247" dir="0" index="0" bw="64" slack="0"/>
<pin id="248" dir="1" index="1" bw="64" slack="0"/>
</pin_list>
<bind>
<opcode="bitcast(44) " fcode="bitcast"/>
<opset="bitcast_ln512_4/13 "/>
</bind>
</comp>
<comp id="251" class="1005" name="icmp_ln2526_reg_251">
<pin_list>
<pin id="252" dir="0" index="0" bw="1" slack="1"/>
<pin id="253" dir="1" index="1" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<opset="icmp_ln2526 "/>
</bind>
</comp>
<comp id="255" class="1005" name="i_reg_255">
<pin_list>
<pin id="256" dir="0" index="0" bw="11" slack="0"/>
<pin id="257" dir="1" index="1" bw="11" slack="0"/>
</pin_list>
<bind>
<opset="i "/>
</bind>
</comp>
<comp id="260" class="1005" name="icmp_ln2527_reg_260">
<pin_list>
<pin id="261" dir="0" index="0" bw="1" slack="1"/>
<pin id="262" dir="1" index="1" bw="1" slack="2147483647"/>
</pin_list>
<bind>
<opset="icmp_ln2527 "/>
</bind>
</comp>
<comp id="264" class="1005" name="j_reg_264">
<pin_list>
<pin id="265" dir="0" index="0" bw="11" slack="0"/>
<pin id="266" dir="1" index="1" bw="11" slack="0"/>
</pin_list>
<bind>
<opset="j "/>
</bind>
</comp>
<comp id="269" class="1005" name="tmp_63_reg_269">
<pin_list>
<pin id="270" dir="0" index="0" bw="8" slack="1"/>
<pin id="271" dir="1" index="1" bw="8" slack="1"/>
</pin_list>
<bind>
<opset="tmp_63 "/>
</bind>
</comp>
<comp id="274" class="1005" name="tmp_64_reg_274">
<pin_list>
<pin id="275" dir="0" index="0" bw="8" slack="1"/>
<pin id="276" dir="1" index="1" bw="8" slack="1"/>
</pin_list>
<bind>
<opset="tmp_64 "/>
</bind>
</comp>
<comp id="279" class="1005" name="tmp_65_reg_279">
<pin_list>
<pin id="280" dir="0" index="0" bw="8" slack="1"/>
<pin id="281" dir="1" index="1" bw="8" slack="1"/>
</pin_list>
<bind>
<opset="tmp_65 "/>
</bind>
</comp>
<comp id="284" class="1005" name="zext_ln2533_reg_284">
<pin_list>
<pin id="285" dir="0" index="0" bw="32" slack="1"/>
<pin id="286" dir="1" index="1" bw="32" slack="1"/>
</pin_list>
<bind>
<opset="zext_ln2533 "/>
</bind>
</comp>
<comp id="289" class="1005" name="zext_ln2533_1_reg_289">
<pin_list>
<pin id="290" dir="0" index="0" bw="32" slack="1"/>
<pin id="291" dir="1" index="1" bw="32" slack="1"/>
</pin_list>
<bind>
<opset="zext_ln2533_1 "/>
</bind>
</comp>
<comp id="294" class="1005" name="zext_ln2533_2_reg_294">
<pin_list>
<pin id="295" dir="0" index="0" bw="32" slack="1"/>
<pin id="296" dir="1" index="1" bw="32" slack="1"/>
</pin_list>
<bind>
<opset="zext_ln2533_2 "/>
</bind>
</comp>
<comp id="299" class="1005" name="trunc_ln368_reg_299">
<pin_list>
<pin id="300" dir="0" index="0" bw="63" slack="1"/>
<pin id="301" dir="1" index="1" bw="63" slack="1"/>
</pin_list>
<bind>
<opset="trunc_ln368 "/>
</bind>
</comp>
<comp id="304" class="1005" name="trunc_ln368_3_reg_304">
<pin_list>
<pin id="305" dir="0" index="0" bw="63" slack="1"/>
<pin id="306" dir="1" index="1" bw="63" slack="1"/>
</pin_list>
<bind>
<opset="trunc_ln368_3 "/>
</bind>
</comp>
<comp id="309" class="1005" name="trunc_ln368_4_reg_309">
<pin_list>
<pin id="310" dir="0" index="0" bw="63" slack="1"/>
<pin id="311" dir="1" index="1" bw="63" slack="1"/>
</pin_list>
<bind>
<opset="trunc_ln368_4 "/>
</bind>
</comp>
<comp id="314" class="1005" name="bitcast_ln512_reg_314">
<pin_list>
<pin id="315" dir="0" index="0" bw="64" slack="1"/>
<pin id="316" dir="1" index="1" bw="64" slack="1"/>
</pin_list>
<bind>
<opset="bitcast_ln512 "/>
</bind>
</comp>
<comp id="319" class="1005" name="bitcast_ln512_3_reg_319">
<pin_list>
<pin id="320" dir="0" index="0" bw="64" slack="1"/>
<pin id="321" dir="1" index="1" bw="64" slack="1"/>
</pin_list>
<bind>
<opset="bitcast_ln512_3 "/>
</bind>
</comp>
<comp id="324" class="1005" name="bitcast_ln512_4_reg_324">
<pin_list>
<pin id="325" dir="0" index="0" bw="64" slack="1"/>
<pin id="326" dir="1" index="1" bw="64" slack="1"/>
</pin_list>
<bind>
<opset="bitcast_ln512_4 "/>
</bind>
</comp>
<comp id="329" class="1005" name="p_d_val_0_reg_329">
<pin_list>
<pin id="330" dir="0" index="0" bw="8" slack="1"/>
<pin id="331" dir="1" index="1" bw="8" slack="1"/>
</pin_list>
<bind>
<opset="p_d_val_0 "/>
</bind>
</comp>
<comp id="334" class="1005" name="p_d_val_1_reg_334">
<pin_list>
<pin id="335" dir="0" index="0" bw="8" slack="1"/>
<pin id="336" dir="1" index="1" bw="8" slack="1"/>
</pin_list>
<bind>
<opset="p_d_val_1 "/>
</bind>
</comp>
<comp id="339" class="1005" name="tmp_24_reg_339">
<pin_list>
<pin id="340" dir="0" index="0" bw="8" slack="1"/>
<pin id="341" dir="1" index="1" bw="8" slack="1"/>
</pin_list>
<bind>
<opset="tmp_24 "/>
</bind>
</comp>
</comp_list>
<net_list>
<net id="74"><net_src comp="48" pin="0"/><net_sink comp="70" pin=0"/></net>
<net id="75"><net_src comp="0" pin="0"/><net_sink comp="70" pin=1"/></net>
<net id="80"><net_src comp="48" pin="0"/><net_sink comp="76" pin=0"/></net>
<net id="81"><net_src comp="2" pin="0"/><net_sink comp="76" pin=1"/></net>
<net id="86"><net_src comp="48" pin="0"/><net_sink comp="82" pin=0"/></net>
<net id="87"><net_src comp="4" pin="0"/><net_sink comp="82" pin=1"/></net>
<net id="93"><net_src comp="68" pin="0"/><net_sink comp="88" pin=0"/></net>
<net id="94"><net_src comp="6" pin="0"/><net_sink comp="88" pin=1"/></net>
<net id="100"><net_src comp="68" pin="0"/><net_sink comp="95" pin=0"/></net>
<net id="101"><net_src comp="8" pin="0"/><net_sink comp="95" pin=1"/></net>
<net id="107"><net_src comp="68" pin="0"/><net_sink comp="102" pin=0"/></net>
<net id="108"><net_src comp="10" pin="0"/><net_sink comp="102" pin=1"/></net>
<net id="112"><net_src comp="24" pin="0"/><net_sink comp="109" pin=0"/></net>
<net id="119"><net_src comp="109" pin="1"/><net_sink comp="113" pin=0"/></net>
<net id="123"><net_src comp="24" pin="0"/><net_sink comp="120" pin=0"/></net>
<net id="130"><net_src comp="120" pin="1"/><net_sink comp="124" pin=0"/></net>
<net id="135"><net_src comp="56" pin="0"/><net_sink comp="131" pin=0"/></net>
<net id="140"><net_src comp="56" pin="0"/><net_sink comp="136" pin=0"/></net>
<net id="145"><net_src comp="56" pin="0"/><net_sink comp="141" pin=0"/></net>
<net id="159"><net_src comp="113" pin="4"/><net_sink comp="155" pin=0"/></net>
<net id="160"><net_src comp="26" pin="0"/><net_sink comp="155" pin=1"/></net>
<net id="165"><net_src comp="113" pin="4"/><net_sink comp="161" pin=0"/></net>
<net id="166"><net_src comp="28" pin="0"/><net_sink comp="161" pin=1"/></net>
<net id="171"><net_src comp="124" pin="4"/><net_sink comp="167" pin=0"/></net>
<net id="172"><net_src comp="40" pin="0"/><net_sink comp="167" pin=1"/></net>
<net id="177"><net_src comp="124" pin="4"/><net_sink comp="173" pin=0"/></net>
<net id="178"><net_src comp="28" pin="0"/><net_sink comp="173" pin=1"/></net>
<net id="182"><net_src comp="179" pin="1"/><net_sink comp="146" pin=0"/></net>
<net id="186"><net_src comp="183" pin="1"/><net_sink comp="149" pin=0"/></net>
<net id="190"><net_src comp="187" pin="1"/><net_sink comp="152" pin=0"/></net>
<net id="194"><net_src comp="146" pin="1"/><net_sink comp="191" pin=0"/></net>
<net id="198"><net_src comp="191" pin="1"/><net_sink comp="195" pin=0"/></net>
<net id="202"><net_src comp="149" pin="1"/><net_sink comp="199" pin=0"/></net>
<net id="206"><net_src comp="199" pin="1"/><net_sink comp="203" pin=0"/></net>
<net id="210"><net_src comp="152" pin="1"/><net_sink comp="207" pin=0"/></net>
<net id="214"><net_src comp="207" pin="1"/><net_sink comp="211" pin=0"/></net>
<net id="220"><net_src comp="52" pin="0"/><net_sink comp="215" pin=0"/></net>
<net id="221"><net_src comp="54" pin="0"/><net_sink comp="215" pin=1"/></net>
<net id="225"><net_src comp="215" pin="3"/><net_sink comp="222" pin=0"/></net>
<net id="226"><net_src comp="222" pin="1"/><net_sink comp="131" pin=1"/></net>
<net id="232"><net_src comp="52" pin="0"/><net_sink comp="227" pin=0"/></net>
<net id="233"><net_src comp="54" pin="0"/><net_sink comp="227" pin=1"/></net>
<net id="237"><net_src comp="227" pin="3"/><net_sink comp="234" pin=0"/></net>
<net id="238"><net_src comp="234" pin="1"/><net_sink comp="136" pin=1"/></net>
<net id="244"><net_src comp="52" pin="0"/><net_sink comp="239" pin=0"/></net>
<net id="245"><net_src comp="54" pin="0"/><net_sink comp="239" pin=1"/></net>
<net id="249"><net_src comp="239" pin="3"/><net_sink comp="246" pin=0"/></net>
<net id="250"><net_src comp="246" pin="1"/><net_sink comp="141" pin=1"/></net>
<net id="254"><net_src comp="155" pin="2"/><net_sink comp="251" pin=0"/></net>
<net id="258"><net_src comp="161" pin="2"/><net_sink comp="255" pin=0"/></net>
<net id="259"><net_src comp="255" pin="1"/><net_sink comp="113" pin=2"/></net>
<net id="263"><net_src comp="167" pin="2"/><net_sink comp="260" pin=0"/></net>
<net id="267"><net_src comp="173" pin="2"/><net_sink comp="264" pin=0"/></net>
<net id="268"><net_src comp="264" pin="1"/><net_sink comp="124" pin=2"/></net>
<net id="272"><net_src comp="70" pin="2"/><net_sink comp="269" pin=0"/></net>
<net id="273"><net_src comp="269" pin="1"/><net_sink comp="179" pin=0"/></net>
<net id="277"><net_src comp="76" pin="2"/><net_sink comp="274" pin=0"/></net>
<net id="278"><net_src comp="274" pin="1"/><net_sink comp="183" pin=0"/></net>
<net id="282"><net_src comp="82" pin="2"/><net_sink comp="279" pin=0"/></net>
<net id="283"><net_src comp="279" pin="1"/><net_sink comp="187" pin=0"/></net>
<net id="287"><net_src comp="179" pin="1"/><net_sink comp="284" pin=0"/></net>
<net id="288"><net_src comp="284" pin="1"/><net_sink comp="146" pin=0"/></net>
<net id="292"><net_src comp="183" pin="1"/><net_sink comp="289" pin=0"/></net>
<net id="293"><net_src comp="289" pin="1"/><net_sink comp="149" pin=0"/></net>
<net id="297"><net_src comp="187" pin="1"/><net_sink comp="294" pin=0"/></net>
<net id="298"><net_src comp="294" pin="1"/><net_sink comp="152" pin=0"/></net>
<net id="302"><net_src comp="195" pin="1"/><net_sink comp="299" pin=0"/></net>
<net id="303"><net_src comp="299" pin="1"/><net_sink comp="215" pin=2"/></net>
<net id="307"><net_src comp="203" pin="1"/><net_sink comp="304" pin=0"/></net>
<net id="308"><net_src comp="304" pin="1"/><net_sink comp="227" pin=2"/></net>
<net id="312"><net_src comp="211" pin="1"/><net_sink comp="309" pin=0"/></net>
<net id="313"><net_src comp="309" pin="1"/><net_sink comp="239" pin=2"/></net>
<net id="317"><net_src comp="222" pin="1"/><net_sink comp="314" pin=0"/></net>
<net id="318"><net_src comp="314" pin="1"/><net_sink comp="131" pin=1"/></net>
<net id="322"><net_src comp="234" pin="1"/><net_sink comp="319" pin=0"/></net>
<net id="323"><net_src comp="319" pin="1"/><net_sink comp="136" pin=1"/></net>
<net id="327"><net_src comp="246" pin="1"/><net_sink comp="324" pin=0"/></net>
<net id="328"><net_src comp="324" pin="1"/><net_sink comp="141" pin=1"/></net>
<net id="332"><net_src comp="131" pin="2"/><net_sink comp="329" pin=0"/></net>
<net id="333"><net_src comp="329" pin="1"/><net_sink comp="88" pin=2"/></net>
<net id="337"><net_src comp="136" pin="2"/><net_sink comp="334" pin=0"/></net>
<net id="338"><net_src comp="334" pin="1"/><net_sink comp="95" pin=2"/></net>
<net id="342"><net_src comp="141" pin="2"/><net_sink comp="339" pin=0"/></net>
<net id="343"><net_src comp="339" pin="1"/><net_sink comp="102" pin=2"/></net>
</net_list>
</model>
---------------- Datapath Model END ------------------
* FSMD analyzer results:
- Output states:
Port: p_dst_data_stream_V | {20 }
Port: p_dst_data_stream_V3 | {20 }
Port: p_dst_data_stream_V4 | {20 }
- Input state :
Port: ConvertScaleAbs155 : p_src_data_stream_V | {4 }
Port: ConvertScaleAbs155 : p_src_data_stream_V1 | {4 }
Port: ConvertScaleAbs155 : p_src_data_stream_V2 | {4 }
Port: ConvertScaleAbs155 : p_dst_data_stream_V | {}
Port: ConvertScaleAbs155 : p_dst_data_stream_V3 | {}
Port: ConvertScaleAbs155 : p_dst_data_stream_V4 | {}
- Chain level:
State 1
State 2
icmp_ln2526 : 1
i : 1
br_ln2526 : 2
State 3
icmp_ln2527 : 1
j : 1
br_ln2527 : 2
State 4
empty : 1
State 5
x_assign : 1
x_assign_3 : 1
x_assign_4 : 1
State 6
State 7
State 8
State 9
State 10
State 11
State 12
p_Val2_s : 1
trunc_ln368 : 2
p_Val2_40 : 1
trunc_ln368_3 : 2
p_Val2_41 : 1
trunc_ln368_4 : 2
State 13
bitcast_ln512 : 1
p_d_val_0 : 2
bitcast_ln512_3 : 1
p_d_val_1 : 2
bitcast_ln512_4 : 1
tmp_24 : 2
State 14
State 15
State 16
State 17
State 18
State 19
State 20
empty_105 : 1
empty_106 : 1
State 21
============================================================
+ Verbose Summary: Datapath Resource usage
============================================================
* Functional unit list:
|----------|--------------------------|---------|---------|---------|
| Operation| Functional Unit | DSP48E | FF | LUT |
|----------|--------------------------|---------|---------|---------|
| | grp_operator_1_fu_131 | 0 | 393 | 1251 |
| call | grp_operator_1_fu_136 | 0 | 393 | 1251 |
| | grp_operator_1_fu_141 | 0 | 393 | 1251 |
|----------|--------------------------|---------|---------|---------|
| | grp_fu_146 | 0 | 549 | 625 |
| sitodp | grp_fu_149 | 0 | 549 | 625 |
| | grp_fu_152 | 0 | 549 | 625 |
|----------|--------------------------|---------|---------|---------|
| icmp | icmp_ln2526_fu_155 | 0 | 0 | 13 |
| | icmp_ln2527_fu_167 | 0 | 0 | 13 |
|----------|--------------------------|---------|---------|---------|
| add | i_fu_161 | 0 | 0 | 13 |
| | j_fu_173 | 0 | 0 | 13 |
|----------|--------------------------|---------|---------|---------|
| | tmp_63_read_fu_70 | 0 | 0 | 0 |
| read | tmp_64_read_fu_76 | 0 | 0 | 0 |
| | tmp_65_read_fu_82 | 0 | 0 | 0 |
|----------|--------------------------|---------|---------|---------|
| | write_ln703_write_fu_88 | 0 | 0 | 0 |
| write | write_ln703_write_fu_95 | 0 | 0 | 0 |
| | write_ln703_write_fu_102 | 0 | 0 | 0 |
|----------|--------------------------|---------|---------|---------|
| | zext_ln2533_fu_179 | 0 | 0 | 0 |
| zext | zext_ln2533_1_fu_183 | 0 | 0 | 0 |
| | zext_ln2533_2_fu_187 | 0 | 0 | 0 |
|----------|--------------------------|---------|---------|---------|
| | trunc_ln368_fu_195 | 0 | 0 | 0 |
| trunc | trunc_ln368_3_fu_203 | 0 | 0 | 0 |
| | trunc_ln368_4_fu_211 | 0 | 0 | 0 |
|----------|--------------------------|---------|---------|---------|
| | p_Result_s_fu_215 | 0 | 0 | 0 |
|bitconcatenate| p_Result_15_fu_227 | 0 | 0 | 0 |
| | p_Result_16_fu_239 | 0 | 0 | 0 |
|----------|--------------------------|---------|---------|---------|
| Total | | 0 | 2826 | 5680 |
|----------|--------------------------|---------|---------|---------|
Memories:
N/A
* Register list:
+-----------------------+--------+
| | FF |
+-----------------------+--------+
|bitcast_ln512_3_reg_319| 64 |
|bitcast_ln512_4_reg_324| 64 |
| bitcast_ln512_reg_314 | 64 |
| i_0_reg_109 | 11 |
| i_reg_255 | 11 |
| icmp_ln2526_reg_251 | 1 |
| icmp_ln2527_reg_260 | 1 |
| j_0_reg_120 | 11 |
| j_reg_264 | 11 |
| p_d_val_0_reg_329 | 8 |
| p_d_val_1_reg_334 | 8 |
| tmp_24_reg_339 | 8 |
| tmp_63_reg_269 | 8 |
| tmp_64_reg_274 | 8 |
| tmp_65_reg_279 | 8 |
| trunc_ln368_3_reg_304 | 63 |
| trunc_ln368_4_reg_309 | 63 |
| trunc_ln368_reg_299 | 63 |
| zext_ln2533_1_reg_289 | 32 |
| zext_ln2533_2_reg_294 | 32 |
| zext_ln2533_reg_284 | 32 |
+-----------------------+--------+
| Total | 571 |
+-----------------------+--------+
* Multiplexer (MUX) list:
|-----------------------|------|------|------|--------||---------||---------|
| Comp | Pin | Size | BW | S x BW || Delay || LUT |
|-----------------------|------|------|------|--------||---------||---------|
| grp_operator_1_fu_131 | p1 | 2 | 64 | 128 || 9 |
| grp_operator_1_fu_136 | p1 | 2 | 64 | 128 || 9 |
| grp_operator_1_fu_141 | p1 | 2 | 64 | 128 || 9 |
| grp_fu_146 | p0 | 2 | 8 | 16 || 9 |
| grp_fu_149 | p0 | 2 | 8 | 16 || 9 |
| grp_fu_152 | p0 | 2 | 8 | 16 || 9 |
|-----------------------|------|------|------|--------||---------||---------|
| Total | | | | 432 || 10.614 || 54 |
|-----------------------|------|------|------|--------||---------||---------|
* Summary:
+-----------+--------+--------+--------+--------+
| | DSP48E | Delay | FF | LUT |
+-----------+--------+--------+--------+--------+
| Function | 0 | - | 2826 | 5680 |
| Memory | - | - | - | - |
|Multiplexer| - | 10 | - | 54 |
| Register | - | - | 571 | - |
+-----------+--------+--------+--------+--------+
| Total | 0 | 10 | 3397 | 5734 |
+-----------+--------+--------+--------+--------+