You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

754 lines
153 KiB
XML

<?xml version="1.0" encoding="utf-8"?>
<Messages>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_631" tag="SCHEDULE" content="Option &apos;relax_ii_for_timing&apos; is enabled, will increase II to preserve clock frequency constraints."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1312" tag="" content="Analyzing design file &apos;edge_detect.cpp&apos; ..."/>
<Message severity="WARNING" prefix="[HLS 214-114]" key="HLS 214-114" tag="DATAFLOW,SDX_KERNEL" content="Only function calls and local variable declarations are allowed in a dataflow region: edge_detect.cpp:11:2"/>
<Message severity="WARNING" prefix="[HLS 200-471]" key="HLS 200-471" tag="DATAFLOW,SDX_KERNEL" content="Dataflow form checks found 1 issue(s) in file edge_detect.cpp"/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_965" tag="" content="Finished Linking Time (s): cpu = 00:00:01 ; elapsed = 00:00:24 . Memory (MB): peak = 184.090 ; gain = 92.645"/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_965" tag="" content="Finished Checking Pragmas Time (s): cpu = 00:00:01 ; elapsed = 00:00:24 . Memory (MB): peak = 184.090 ; gain = 92.645"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1467" tag="" content="Starting code transformations ..."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::init&apos; into &apos;hls::Mat&lt;1080, 1920, 4096&gt;::Mat.1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:642)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::AXIGetBitFields&lt;24, unsigned char&gt;.1&apos; into &apos;hls::AXIGetBitFields&lt;24, unsigned char&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_axi_io.h:71)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::AXIGetBitFields&lt;24, unsigned char&gt;&apos; into &apos;hls::AXIvideo2Mat&lt;24, 1080, 1920, 4096&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_io.h:92)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::write&apos; into &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&lt;&lt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:717)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&lt;&lt;&apos; into &apos;hls::arithm_pro&lt;hls::kernel_addWeighted, 1080, 1920, 4096, 4096, 4096, int, int, int&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:363)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&lt;&lt;&apos; into &apos;hls::CvtColor&lt;HLS_GRAY2RGB, 4096, 4096, 1080, 1920&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1973)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&lt;&lt;&apos; into &apos;hls::CvtColor&lt;HLS_RGB2GRAY, 4096, 4096, 1080, 1920&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1973)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&lt;&lt;&apos; into &apos;hls::AXIvideo2Mat&lt;24, 1080, 1920, 4096&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_io.h:94)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&lt;&lt;&apos; into &apos;hls::Duplicate&lt;1080, 1920, 4096, 4096&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:1563)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&lt;&lt;&apos; into &apos;hls::Duplicate&lt;1080, 1920, 4096, 4096&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:1562)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&lt;&lt;&apos; into &apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2535)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::read&apos; into &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&gt;&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:711)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&gt;&gt;&apos; into &apos;hls::arithm_pro&lt;hls::kernel_addWeighted, 1080, 1920, 4096, 4096, 4096, int, int, int&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:359)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&gt;&gt;&apos; into &apos;hls::arithm_pro&lt;hls::kernel_addWeighted, 1080, 1920, 4096, 4096, 4096, int, int, int&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:358)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&gt;&gt;&apos; into &apos;hls::Mat2AXIvideo&lt;24, 1080, 1920, 4096&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_io.h:140)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&gt;&gt;&apos; into &apos;hls::CvtColor&lt;HLS_GRAY2RGB, 4096, 4096, 1080, 1920&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1971)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&gt;&gt;&apos; into &apos;hls::CvtColor&lt;HLS_RGB2GRAY, 4096, 4096, 1080, 1920&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1971)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&gt;&gt;&apos; into &apos;hls::Duplicate&lt;1080, 1920, 4096, 4096&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:1561)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Mat&lt;1080, 1920, 4096&gt;::operator&gt;&gt;&apos; into &apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2530)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::ColorConverter&lt;unsigned char, unsigned char&gt;::convert&lt;3&gt;&apos; into &apos;hls::kernel_CvtColor&lt;HLS_RGB2GRAY, unsigned char, unsigned char&gt;::apply&lt;3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1545)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;1, 3, ap_int&lt;8&gt; &gt;::getval&apos; into &apos;hls::Window&lt;1, 3, ap_int&lt;8&gt; &gt;::operator()&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_mem.h:501)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;1, 3, ap_int&lt;8&gt; &gt;::operator()&apos; into &apos;hls::Sobel_kernel&lt;0, 1, 3, ap_int&lt;8&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2591)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;1, 3, ap_int&lt;8&gt; &gt;::operator()&apos; into &apos;hls::Sobel_kernel&lt;0, 1, 3, ap_int&lt;8&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2599)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;1, 3, ap_int&lt;8&gt; &gt;::operator()&apos; into &apos;hls::Sobel_kernel&lt;1, 0, 3, ap_int&lt;8&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2591)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;1, 3, ap_int&lt;8&gt; &gt;::operator()&apos; into &apos;hls::Sobel_kernel&lt;1, 0, 3, ap_int&lt;8&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2599)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 1, ap_int&lt;8&gt; &gt;::getval&apos; into &apos;hls::Window&lt;3, 1, ap_int&lt;8&gt; &gt;::operator()&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_mem.h:501)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 1, ap_int&lt;8&gt; &gt;::operator()&apos; into &apos;hls::Sobel_kernel&lt;0, 1, 3, ap_int&lt;8&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2593)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 1, ap_int&lt;8&gt; &gt;::operator()&apos; into &apos;hls::Sobel_kernel&lt;0, 1, 3, ap_int&lt;8&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2599)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 1, ap_int&lt;8&gt; &gt;::operator()&apos; into &apos;hls::Sobel_kernel&lt;1, 0, 3, ap_int&lt;8&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2593)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 1, ap_int&lt;8&gt; &gt;::operator()&apos; into &apos;hls::Sobel_kernel&lt;1, 0, 3, ap_int&lt;8&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2599)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 3, ap_int&lt;8&gt; &gt;::getval&apos; into &apos;hls::Window&lt;3, 3, ap_int&lt;8&gt; &gt;::operator()&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_mem.h:501)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 3, ap_int&lt;8&gt; &gt;::operator()&apos; into &apos;hls::Sobel_kernel&lt;1, 0, 3, ap_int&lt;8&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2599)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 3, ap_int&lt;8&gt; &gt;::operator()&apos; into &apos;hls::Sobel_kernel&lt;0, 1, 3, ap_int&lt;8&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2599)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::normalizeAnchor&lt;int, int&gt;&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:424)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::get_parameters&lt;3, 3, int&gt;&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:434)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::borderInterpolate&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:452)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::borderInterpolate&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:470)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::borderInterpolate&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:506)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 3, unsigned char&gt;::getval&apos; into &apos;hls::Window&lt;3, 3, unsigned char&gt;::operator()&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_mem.h:501)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 3, unsigned char&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:507)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 3, unsigned char&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:503)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 3, unsigned char&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:484)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 3, unsigned char&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:481)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 3, unsigned char&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:481)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 3, unsigned char&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:458)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 1, unsigned char&gt;::getval&apos; into &apos;hls::Window&lt;3, 1, unsigned char&gt;::operator()&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_mem.h:501)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 1, unsigned char&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:458)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 1, unsigned char&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:460)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 1, unsigned char&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:484)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 1, unsigned char&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:503)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 1, unsigned char&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:507)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::LineBuffer&lt;6, 1920, unsigned char, 0&gt;::getval&apos; into &apos;hls::LineBuffer&lt;6, 1920, unsigned char, 0&gt;::operator()&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_mem.h:843)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::LineBuffer&lt;6, 1920, unsigned char, 0&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:493)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::LineBuffer&lt;6, 1920, unsigned char, 0&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:489)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::LineBuffer&lt;6, 1920, unsigned char, 0&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:489)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::LineBuffer&lt;6, 1920, unsigned char, 0&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:472)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::LineBuffer&lt;6, 1920, unsigned char, 0&gt;::operator()&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:460)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 3, unsigned char&gt;::shift_pixels_right&apos; into &apos;hls::Window&lt;3, 3, unsigned char&gt;::shift_right&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_mem.h:543)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::Window&lt;3, 3, unsigned char&gt;::shift_right&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:499)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::filter2d_kernel::apply&lt;unsigned char, ap_fixed&lt;20, 20, (ap_q_mode)5, (ap_o_mode)3, 0&gt;, ap_int&lt;8&gt;, 3, 3&gt;&apos; into &apos;hls::filter2d_kernel::apply&lt;unsigned char, unsigned char, ap_int&lt;8&gt;, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:303)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::filter2d_kernel::apply&lt;unsigned char, unsigned char, ap_int&lt;8&gt;, 3, 3&gt;&apos; into &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:514)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::filter_opr&lt;hls::filter2d_kernel, hls::BORDER_DEFAULT&gt;::filter&lt;4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; into &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1289)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::kernel_addWeighted::apply&lt;unsigned char, unsigned char, unsigned char, int&gt;&apos; into &apos;hls::arithm_pro&lt;hls::kernel_addWeighted, 1080, 1920, 4096, 4096, 4096, int, int, int&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:361)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::kernel_CvtColor&lt;HLS_GRAY2RGB, unsigned char, unsigned char&gt;::apply&lt;3, 3&gt;&apos; into &apos;hls::CvtColor&lt;HLS_GRAY2RGB, 4096, 4096, 1080, 1920&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1972)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::AXISetBitFields&lt;24, unsigned char&gt;.1&apos; into &apos;hls::AXISetBitFields&lt;24, unsigned char&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_axi_io.h:100)."/>
<Message severity="INFO" prefix="[XFORM 203-603]" key="XFORM_INLINE_STATUS_221" tag="" content="Inlining function &apos;hls::AXISetBitFields&lt;24, unsigned char&gt;&apos; into &apos;hls::Mat2AXIvideo&lt;24, 1080, 1920, 4096&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_io.h:143)."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_965" tag="" content="Finished Standard Transforms Time (s): cpu = 00:00:02 ; elapsed = 00:00:26 . Memory (MB): peak = 234.320 ; gain = 142.875"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1472" tag="" content="Checking synthesizability ..."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator()&lt;35, 13, (ap_q_mode)5, (ap_o_mode)3&gt;&apos; into &apos;hls::sr_cast&lt;unsigned char, ap_fixed&lt;35, 13, (ap_q_mode)5, (ap_o_mode)3, 0&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast&lt;unsigned char, ap_fixed&lt;35, 13, (ap_q_mode)5, (ap_o_mode)3, 0&gt; &gt;&apos; into &apos;hls::kernel_CvtColor&lt;HLS_RGB2GRAY, unsigned char, unsigned char&gt;::apply&lt;3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1498-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1545) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator()&lt;20, 20, (ap_q_mode)5, (ap_o_mode)3&gt;&apos; into &apos;hls::sr_cast&lt;unsigned char, ap_fixed&lt;20, 20, (ap_q_mode)5, (ap_o_mode)3, 0&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast&lt;unsigned char, ap_fixed&lt;20, 20, (ap_q_mode)5, (ap_o_mode)3, 0&gt; &gt;&apos; into &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:304-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:514-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1289) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::Sobel&lt;1, 0, 3, hls::BORDER_DEFAULT, 4096, 4096, 1080, 1920, 1080, 1920&gt;&apos; into &apos;hls::Sobel&lt;1, 0, 3, 4096, 4096, 1080, 1920, 1080, 1920&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2627) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::Sobel&lt;0, 1, 3, hls::BORDER_DEFAULT, 4096, 4096, 1080, 1920, 1080, 1920&gt;&apos; into &apos;hls::Sobel&lt;0, 1, 3, 4096, 4096, 1080, 1920, 1080, 1920&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2627) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;fp_struct&lt;double&gt;::data&apos; into &apos;fp_struct&lt;double&gt;::to_double&apos; (r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;fp_struct&lt;double&gt;::to_double&apos; into &apos;fp_struct&lt;double&gt;::to_ieee&apos; (r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;fp_struct&lt;double&gt;::to_ieee&apos; into &apos;generic_copysign&lt;double&gt;&apos; (r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;generic_copysign&lt;double&gt;&apos; into &apos;generic_fabs&lt;double&gt;&apos; (r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;generic_fabs&lt;double&gt;&apos; into &apos;hls::abs&apos; (r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;generic_isnan&lt;double&gt;&apos; into &apos;hls::isnan&apos; (r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/isnandouble.cpp:7) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::isnan&apos; into &apos;hls::__isnan&apos; (r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/isnandouble.cpp:11) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::__isnan&apos; into &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator().1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:428) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::abs&apos; into &apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast&lt;unsigned char, double&gt;&apos; into &apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator()&lt;41&gt;&apos; into &apos;hls::sr_cast&lt;unsigned char, ap_int&lt;41&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast&lt;unsigned char, ap_int&lt;41&gt; &gt;&apos; into &apos;hls::arithm_pro&lt;hls::kernel_addWeighted, 1080, 1920, 4096, 4096, 4096, int, int, int&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:282-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:361) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator()&lt;8&gt;&apos; into &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator()&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:432) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator()&apos; into &apos;hls::sr_cast&lt;unsigned char, unsigned char&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast&lt;unsigned char, unsigned char&gt;&apos; into &apos;hls::CvtColor&lt;HLS_GRAY2RGB, 4096, 4096, 1080, 1920&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1554-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1972) automatically."/>
<Message severity="WARNING" prefix="[SYNCHK 200-23]" key="SYNCHK_VAR_INDEX_RANGE_359" tag="" content="C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:429: variable-indexed range selection may cause suboptimal QoR."/>
<Message severity="INFO" prefix="[SYNCHK 200-10]" key="SYNCHK_SYNCHK_SUMMARY_377" tag="" content="0 error(s), 1 warning(s)."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_965" tag="" content="Finished Checking Synthesizability Time (s): cpu = 00:00:04 ; elapsed = 00:00:28 . Memory (MB): peak = 302.914 ; gain = 211.469"/>
<Message severity="WARNING" prefix="[XFORM 203-1103]" key="XFORM_DATA_PACK_INVALID_346" tag="" content="Ignored data pack directive on non-struct variable &apos;img2.data_stream.V&apos; (edge_detect.cpp:47)."/>
<Message severity="WARNING" prefix="[XFORM 203-1103]" key="XFORM_DATA_PACK_INVALID_346" tag="" content="Ignored data pack directive on non-struct variable &apos;img0.data_stream.V&apos; (edge_detect.cpp:29)."/>
<Message severity="WARNING" prefix="[XFORM 203-1103]" key="XFORM_DATA_PACK_INVALID_346" tag="" content="Ignored data pack directive on non-struct variable &apos;img1.data_stream.V&apos; (edge_detect.cpp:30)."/>
<Message severity="WARNING" prefix="[XFORM 203-1103]" key="XFORM_DATA_PACK_INVALID_346" tag="" content="Ignored data pack directive on non-struct variable &apos;img1.data_stream.V&apos; (edge_detect.cpp:46)."/>
<Message severity="WARNING" prefix="[XFORM 203-1103]" key="XFORM_DATA_PACK_INVALID_346" tag="" content="Ignored data pack directive on non-struct variable &apos;img4.data_stream.V&apos; (edge_detect.cpp:48)."/>
<Message severity="WARNING" prefix="[XFORM 203-1103]" key="XFORM_DATA_PACK_INVALID_346" tag="" content="Ignored data pack directive on non-struct variable &apos;img2.data_stream.V&apos; (edge_detect.cpp:31)."/>
<Message severity="WARNING" prefix="[XFORM 203-1103]" key="XFORM_DATA_PACK_INVALID_346" tag="" content="Ignored data pack directive on non-struct variable &apos;img3.data_stream.V&apos; (edge_detect.cpp:32)."/>
<Message severity="WARNING" prefix="[XFORM 203-1103]" key="XFORM_DATA_PACK_INVALID_346" tag="" content="Ignored data pack directive on non-struct variable &apos;img0.data_stream.V&apos; (edge_detect.cpp:45)."/>
<Message severity="INFO" prefix="[XFORM 203-502]" key="XFORM_AUTO_UNROLL_STATUS_246" tag="" content="Unrolling all sub-loops inside loop &apos;loop_width&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:355) in function &apos;hls::arithm_pro&lt;hls::kernel_addWeighted, 1080, 1920, 4096, 4096, 4096, int, int, int&gt;&apos; for pipelining."/>
<Message severity="INFO" prefix="[XFORM 203-502]" key="XFORM_AUTO_UNROLL_STATUS_246" tag="" content="Unrolling all sub-loops inside loop &apos;loop_width&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_io.h:126) in function &apos;hls::Mat2AXIvideo&lt;24, 1080, 1920, 4096&gt;&apos; for pipelining."/>
<Message severity="INFO" prefix="[XFORM 203-502]" key="XFORM_AUTO_UNROLL_STATUS_246" tag="" content="Unrolling all sub-loops inside loop &apos;loop_width&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:444) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; for pipelining."/>
<Message severity="INFO" prefix="[XFORM 203-502]" key="XFORM_AUTO_UNROLL_STATUS_246" tag="" content="Unrolling all sub-loops inside loop &apos;loop_width&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:1558) in function &apos;hls::Duplicate&lt;1080, 1920, 4096, 4096&gt;&apos; for pipelining."/>
<Message severity="INFO" prefix="[XFORM 203-502]" key="XFORM_AUTO_UNROLL_STATUS_246" tag="" content="Unrolling all sub-loops inside loop &apos;loop_width&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1968) in function &apos;hls::CvtColor&lt;HLS_RGB2GRAY, 4096, 4096, 1080, 1920&gt;&apos; for pipelining."/>
<Message severity="INFO" prefix="[XFORM 203-502]" key="XFORM_AUTO_UNROLL_STATUS_246" tag="" content="Unrolling all sub-loops inside loop &apos;loop_width&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1968) in function &apos;hls::CvtColor&lt;HLS_GRAY2RGB, 4096, 4096, 1080, 1920&gt;&apos; for pipelining."/>
<Message severity="INFO" prefix="[XFORM 203-502]" key="XFORM_AUTO_UNROLL_STATUS_246" tag="" content="Unrolling all sub-loops inside loop &apos;loop_width&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2527) in function &apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;&apos; for pipelining."/>
<Message severity="INFO" prefix="[XFORM 203-502]" key="XFORM_AUTO_UNROLL_STATUS_246" tag="" content="Unrolling all sub-loops inside loop &apos;loop_width&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_io.h:73) in function &apos;hls::AXIvideo2Mat&lt;24, 1080, 1920, 4096&gt;&apos; for pipelining."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-1.1.1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:677) in function &apos;hls::arithm_pro&lt;hls::kernel_addWeighted, 1080, 1920, 4096, 4096, 4096, int, int, int&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-1.1.2&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:677) in function &apos;hls::arithm_pro&lt;hls::kernel_addWeighted, 1080, 1920, 4096, 4096, 4096, int, int, int&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;loop_channels&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:360) in function &apos;hls::arithm_pro&lt;hls::kernel_addWeighted, 1080, 1920, 4096, 4096, 4096, int, int, int&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-1.1.4&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:701) in function &apos;hls::arithm_pro&lt;hls::kernel_addWeighted, 1080, 1920, 4096, 4096, 4096, int, int, int&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-1.1.1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:677) in function &apos;hls::Mat2AXIvideo&lt;24, 1080, 1920, 4096&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;loop_channels&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_io.h:142) in function &apos;hls::Mat2AXIvideo&lt;24, 1080, 1920, 4096&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;channelloop&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:450) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-5.1.1.1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:456) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-5.1.1.2&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:469) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; completely with a factor of 6."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-5.1.1.3&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:478) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-5.1.1.3.1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:479) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; completely with a factor of 2."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-5.1.1.4&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:487) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; completely with a factor of 5."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-5.1.1.5&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_mem.h:161) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-5.1.1.5.1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_mem.h:163) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; completely with a factor of 2."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-5.1.1.6&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:501) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;loop_height&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:285) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;loop_width&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:286) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-1.1.1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:677) in function &apos;hls::Duplicate&lt;1080, 1920, 4096, 4096&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-1.1.2&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:701) in function &apos;hls::Duplicate&lt;1080, 1920, 4096, 4096&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-1.1.3&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:701) in function &apos;hls::Duplicate&lt;1080, 1920, 4096, 4096&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-1.1.1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:677) in function &apos;hls::CvtColor&lt;HLS_RGB2GRAY, 4096, 4096, 1080, 1920&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-1.1.2&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:701) in function &apos;hls::CvtColor&lt;HLS_RGB2GRAY, 4096, 4096, 1080, 1920&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-1.1.1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:677) in function &apos;hls::CvtColor&lt;HLS_GRAY2RGB, 4096, 4096, 1080, 1920&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-1.1.2&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:701) in function &apos;hls::CvtColor&lt;HLS_GRAY2RGB, 4096, 4096, 1080, 1920&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-1.1.1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:677) in function &apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;loop_channels&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2532) in function &apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-1.1.3&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:701) in function &apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;loop_channels&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_io.h:91) in function &apos;hls::AXIvideo2Mat&lt;24, 1080, 1920, 4096&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[HLS 200-489]" key="HLS 200-489" tag="" content="Unrolling loop &apos;Loop-2.1.2&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:701) in function &apos;hls::AXIvideo2Mat&lt;24, 1080, 1920, 4096&gt;&apos; completely with a factor of 3."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_120" tag="" content="Partitioning array &apos;s.val.assign&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:589) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_120" tag="" content="Partitioning array &apos;s.val.assign.5&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:589) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_120" tag="" content="Partitioning array &apos;s.val.assign.3&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:589) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_120" tag="" content="Partitioning array &apos;s.val.assign.4&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:589) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_120" tag="" content="Partitioning array &apos;s.val.assign.6&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:589) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_120" tag="" content="Partitioning array &apos;s.val.assign.2&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:589) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_120" tag="" content="Partitioning array &apos;s.val.assign&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:589) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_112" tag="" content="Automatically partitioning streamed array &apos;img0.data_stream.V&apos; (edge_detect.cpp:45) ."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_112" tag="" content="Automatically partitioning streamed array &apos;img1.data_stream.V&apos; (edge_detect.cpp:46) ."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_112" tag="" content="Automatically partitioning streamed array &apos;img2.data_stream.V&apos; (edge_detect.cpp:47) ."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_112" tag="" content="Automatically partitioning streamed array &apos;img4.data_stream.V&apos; (edge_detect.cpp:48) ."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_112" tag="" content="Automatically partitioning streamed array &apos;img0.data_stream.V&apos; (edge_detect.cpp:29) ."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_112" tag="" content="Automatically partitioning streamed array &apos;img1.data_stream.V&apos; (edge_detect.cpp:30) ."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_112" tag="" content="Automatically partitioning streamed array &apos;img2.data_stream.V&apos; (edge_detect.cpp:31) ."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_112" tag="" content="Automatically partitioning streamed array &apos;img3.data_stream.V&apos; (edge_detect.cpp:32) ."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_112" tag="" content="Automatically partitioning streamed array &apos;img0.data_stream.V&apos; (edge_detect.cpp:9) ."/>
<Message severity="INFO" prefix="[XFORM 203-102]" key="XFORM_AUTO_PARTITION_STATUS_112" tag="" content="Automatically partitioning streamed array &apos;img0.data_stream.V&apos; (edge_detect.cpp:9) ."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;s1.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:351) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;s2.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:352) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;d.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:353) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;scl.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:670) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;scl.val.1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:670) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;kernel.val.V&apos; in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;kernel.val.V135&apos; in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;pix.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_io.h:115) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;scl.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:670) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;src_kernel_win.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:398) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;k_buf.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:400) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;right_border_buf.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:403) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;col_buf.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:405) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;s.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:1556) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;scl.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:670) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;kernel_opr.par.val.V&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1960) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;_s.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1965) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;_d.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1966) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;scl.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:670) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;_s.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1965) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;_d.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1966) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;scl.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:670) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;pix.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_io.h:56) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;_s.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2524) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;_d.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2525) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;scl.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:670) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;_s.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2524) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;_d.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2525) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;scl.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_core.h:670) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;img0.data_stream.V&apos; (edge_detect.cpp:45) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;img1.data_stream.V&apos; (edge_detect.cpp:46) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;img2.data_stream.V&apos; (edge_detect.cpp:47) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;img4.data_stream.V&apos; (edge_detect.cpp:48) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;img0.data_stream.V&apos; (edge_detect.cpp:29) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;img1.data_stream.V&apos; (edge_detect.cpp:30) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;img2.data_stream.V&apos; (edge_detect.cpp:31) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;img3.data_stream.V&apos; (edge_detect.cpp:32) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;img0.data_stream.V&apos; (edge_detect.cpp:9) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;img0.data_stream.V&apos; (edge_detect.cpp:9) in dimension 1 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;kernel.val.V&apos; in dimension 2 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;kernel.val.V135&apos; in dimension 2 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;src_kernel_win.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:398) in dimension 2 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;k_buf.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:400) in dimension 2 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;right_border_buf.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:403) in dimension 2 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;col_buf.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:405) in dimension 2 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;src_kernel_win.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:398) in dimension 3 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;right_border_buf.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:403) in dimension 3 completely."/>
<Message severity="INFO" prefix="[XFORM 203-101]" key="XFORM_PARTITION_STATUS_116" tag="" content="Partitioning array &apos;col_buf.val&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:405) in dimension 3 completely."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator()&lt;35, 13, (ap_q_mode)5, (ap_o_mode)3&gt;&apos; into &apos;hls::sr_cast&lt;unsigned char, ap_fixed&lt;35, 13, (ap_q_mode)5, (ap_o_mode)3, 0&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast&lt;unsigned char, ap_fixed&lt;35, 13, (ap_q_mode)5, (ap_o_mode)3, 0&gt; &gt;&apos; into &apos;hls::kernel_CvtColor&lt;HLS_RGB2GRAY, unsigned char, unsigned char&gt;::apply&lt;3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1498-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1545) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::kernel_CvtColor&lt;HLS_RGB2GRAY, unsigned char, unsigned char&gt;::apply&lt;3, 3&gt;&apos; into &apos;hls::CvtColor&lt;HLS_RGB2GRAY, 4096, 4096, 1080, 1920&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1972) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator()&lt;20, 20, (ap_q_mode)5, (ap_o_mode)3&gt;&apos; into &apos;hls::sr_cast&lt;unsigned char, ap_fixed&lt;20, 20, (ap_q_mode)5, (ap_o_mode)3, 0&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast&lt;unsigned char, ap_fixed&lt;20, 20, (ap_q_mode)5, (ap_o_mode)3, 0&gt; &gt;&apos; into &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:304-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:514-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1289) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::Sobel&lt;1, 0, 3, hls::BORDER_DEFAULT, 4096, 4096, 1080, 1920, 1080, 1920&gt;&apos; into &apos;hls::Sobel&lt;1, 0, 3, 4096, 4096, 1080, 1920, 1080, 1920&gt;154&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2627) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;fp_struct&lt;double&gt;::data&apos; into &apos;fp_struct&lt;double&gt;::to_double&apos; (r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:512) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;fp_struct&lt;double&gt;::to_double&apos; into &apos;fp_struct&lt;double&gt;::to_ieee&apos; (r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/src/hls\utils/x_hls_utils.h:526) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;fp_struct&lt;double&gt;::to_ieee&apos; into &apos;generic_copysign&lt;double&gt;&apos; (r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint/hls_copysign.h:14) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;generic_copysign&lt;double&gt;&apos; into &apos;generic_fabs&lt;double&gt;&apos; (r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/include/FloatingPoint\hls_fabs.h:13) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;generic_fabs&lt;double&gt;&apos; into &apos;hls::abs&apos; (r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/absdouble.cpp:7) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;generic_isnan&lt;double&gt;&apos; into &apos;hls::isnan&apos; (r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/isnandouble.cpp:7) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::isnan&apos; into &apos;hls::__isnan&apos; (r:/builds/2019.1/continuous/2019_05_24_2552052/src/products/hls/hls_lib/hlsmath/src/c++/isnandouble.cpp:11) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::__isnan&apos; into &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator().1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:428) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::abs&apos; into &apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;155&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast&lt;unsigned char, double&gt;&apos; into &apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;155&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::Sobel&lt;0, 1, 3, hls::BORDER_DEFAULT, 4096, 4096, 1080, 1920, 1080, 1920&gt;&apos; into &apos;hls::Sobel&lt;0, 1, 3, 4096, 4096, 1080, 1920, 1080, 1920&gt;156&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2627) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::abs&apos; into &apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;157&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast&lt;unsigned char, double&gt;&apos; into &apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;157&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator()&lt;41&gt;&apos; into &apos;hls::sr_cast&lt;unsigned char, ap_int&lt;41&gt; &gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast&lt;unsigned char, ap_int&lt;41&gt; &gt;&apos; into &apos;hls::arithm_pro&lt;hls::kernel_addWeighted, 1080, 1920, 4096, 4096, 4096, int, int, int&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:282-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:361) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator()&lt;8&gt;&apos; into &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator()&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:432) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator()&apos; into &apos;hls::sr_cast&lt;unsigned char, unsigned char&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-602]" key="XFORM_AUTO_INLINE_STATUS_223" tag="" content="Inlining function &apos;hls::sr_cast&lt;unsigned char, unsigned char&gt;&apos; into &apos;hls::CvtColor&lt;HLS_GRAY2RGB, 4096, 4096, 1080, 1920&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1554-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1972) automatically."/>
<Message severity="INFO" prefix="[XFORM 203-712]" key="XFORM_DATAFLOW_TRANSFORM_230" tag="DATAFLOW" content="Applying dataflow to function &apos;mysobel152&apos;, detected/extracted 3 process function(s):
&apos;mysobel152_Block__proc&apos;
&apos;hls::Sobel&lt;1, 0, 3, 4096, 4096, 1080, 1920, 1080, 1920&gt;154&apos;
&apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;155&apos;."/>
<Message severity="INFO" prefix="[XFORM 203-712]" key="XFORM_DATAFLOW_TRANSFORM_230" tag="DATAFLOW" content="Applying dataflow to function &apos;mysobel153&apos;, detected/extracted 3 process function(s):
&apos;mysobel153_Block__proc&apos;
&apos;hls::Sobel&lt;0, 1, 3, 4096, 4096, 1080, 1920, 1080, 1920&gt;156&apos;
&apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;157&apos;."/>
<Message severity="INFO" prefix="[XFORM 203-712]" key="XFORM_DATAFLOW_TRANSFORM_230" tag="DATAFLOW" content="Applying dataflow to function &apos;mysobelxy&apos;, detected/extracted 5 process function(s):
&apos;mysobelxy_Block__proc228&apos;
&apos;hls::Duplicate&lt;1080, 1920, 4096, 4096&gt;&apos;
&apos;mysobel152&apos;
&apos;mysobel153&apos;
&apos;hls::AddWeighted&lt;1080, 1920, 4096, 4096, 4096, int&gt;&apos;."/>
<Message severity="INFO" prefix="[XFORM 203-712]" key="XFORM_DATAFLOW_TRANSFORM_230" tag="DATAFLOW" content="Applying dataflow to function &apos;sobelfoo&apos;, detected/extracted 6 process function(s):
&apos;sobelfoo_Block__proc&apos;
&apos;hls::AXIvideo2Mat&lt;24, 1080, 1920, 4096&gt;&apos;
&apos;hls::CvtColor&lt;HLS_RGB2GRAY, 4096, 4096, 1080, 1920&gt;&apos;
&apos;mysobelxy&apos;
&apos;hls::CvtColor&lt;HLS_GRAY2RGB, 4096, 4096, 1080, 1920&gt;&apos;
&apos;hls::Mat2AXIvideo&lt;24, 1080, 1920, 4096&gt;&apos;."/>
<Message severity="INFO" prefix="[XFORM 203-712]" key="XFORM_DATAFLOW_TRANSFORM_230" tag="DATAFLOW" content="Applying dataflow to function &apos;edge_detect&apos;, detected/extracted 1 process function(s):
&apos;sobelfoo&apos;."/>
<Message severity="INFO" prefix="[XFORM 203-401]" key="XFORM_IFCONV_STATUS_239" tag="" content="Performing if-conversion on hyperblock from (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:427:42) to (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:430:5) in function &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator().1&apos;... converting 30 basic blocks."/>
<Message severity="INFO" prefix="[XFORM 203-401]" key="XFORM_IFCONV_STATUS_239" tag="" content="Performing if-conversion on hyperblock from (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:444:57) to (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:465:21) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos;... converting 12 basic blocks."/>
<Message severity="INFO" prefix="[XFORM 203-401]" key="XFORM_IFCONV_STATUS_239" tag="" content="Performing if-conversion on hyperblock from (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_mem.h:165:17) to (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:512:24) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos;... converting 13 basic blocks."/>
<Message severity="INFO" prefix="[XFORM 203-401]" key="XFORM_IFCONV_STATUS_239" tag="" content="Performing if-conversion on hyperblock from (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgbase.h:113:42) to (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:465:21) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos;... converting 12 basic blocks."/>
<Message severity="INFO" prefix="[XFORM 203-401]" key="XFORM_IFCONV_STATUS_239" tag="" content="Performing if-conversion on hyperblock from (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_mem.h:165:17) to (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:512:24) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos;... converting 13 basic blocks."/>
<Message severity="INFO" prefix="[XFORM 203-401]" key="XFORM_IFCONV_STATUS_239" tag="" content="Performing if-conversion on hyperblock from (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgbase.h:113:42) to (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:465:21) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos;... converting 12 basic blocks."/>
<Message severity="INFO" prefix="[XFORM 203-401]" key="XFORM_IFCONV_STATUS_239" tag="" content="Performing if-conversion on hyperblock from (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_mem.h:165:17) to (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:512:24) in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos;... converting 13 basic blocks."/>
<Message severity="INFO" prefix="[XFORM 203-11]" key="XFORM_EXPR_BALANCE_STATUS_178" tag="" content="Balancing expressions in function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1279)...30 expression(s) balanced."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_965" tag="" content="Finished Pre-synthesis Time (s): cpu = 00:00:09 ; elapsed = 00:00:33 . Memory (MB): peak = 400.914 ; gain = 309.469"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;mysobelxy_Block__proc228&apos; to &apos;mysobelxy_Block__pro&apos;"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;mysobel153_Block__proc&apos; to &apos;mysobel153_Block__pr&apos;"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;mysobel152_Block__proc&apos; to &apos;mysobel152_Block__pr&apos;"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;hls::sr_cast_class&lt;ap_uint&lt;8&gt; &gt;::operator().1&apos; to &apos;operator().1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:18:5)"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;hls::arithm_pro&lt;hls::kernel_addWeighted, 1080, 1920, 4096, 4096, 4096, int, int, int&gt;&apos; to &apos;arithm_pro&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:280:50)"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;hls::Sobel&lt;1, 0, 3, 4096, 4096, 1080, 1920, 1080, 1920&gt;154&apos; to &apos;Sobel154&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2619:1)"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;hls::Sobel&lt;0, 1, 3, 4096, 4096, 1080, 1920, 1080, 1920&gt;156&apos; to &apos;Sobel156&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2619:1)"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;hls::Mat2AXIvideo&lt;24, 1080, 1920, 4096&gt;&apos; to &apos;Mat2AXIvideo&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_io.h:78:50)"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;hls::Filter2D&lt;hls::BORDER_DEFAULT, 4096, 4096, ap_int&lt;8&gt;, int, 1080, 1920, 3, 3&gt;&apos; to &apos;Filter2D&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:118:33)"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;hls::Duplicate&lt;1080, 1920, 4096, 4096&gt;&apos; to &apos;Duplicate&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:672:50)"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;hls::CvtColor&lt;HLS_RGB2GRAY, 4096, 4096, 1080, 1920&gt;&apos; to &apos;CvtColor&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:409:39)"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;hls::CvtColor&lt;HLS_GRAY2RGB, 4096, 4096, 1080, 1920&gt;&apos; to &apos;CvtColor.1&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:672:39)"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;157&apos; to &apos;ConvertScaleAbs157&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:475:34)"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;hls::ConvertScaleAbs&lt;4096, 4096, 1080, 1920&gt;155&apos; to &apos;ConvertScaleAbs155&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:475:34)"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;hls::AddWeighted&lt;1080, 1920, 4096, 4096, 4096, int&gt;&apos; to &apos;AddWeighted&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_arithm.h:879:1)"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;hls::AXIvideo2Mat&lt;24, 1080, 1920, 4096&gt;&apos; to &apos;AXIvideo2Mat&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_io.h:49:9)"/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1920 for loop &apos;loop_width&apos; in function &apos;arithm_pro&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1080 for loop &apos;loop_height&apos; in function &apos;arithm_pro&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1920 for loop &apos;loop_width&apos; in function &apos;Mat2AXIvideo&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1080 for loop &apos;loop_height&apos; in function &apos;Mat2AXIvideo&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 10 to 1922 for loop &apos;loop_width&apos; in function &apos;Filter2D&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_402" tag="" content="Updating loop upper bound from 1083 to 1082 for loop &apos;loop_height&apos; in function &apos;Filter2D&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 9 to 1082 for loop &apos;loop_height&apos; in function &apos;Filter2D&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1920 for loop &apos;loop_width&apos; in function &apos;Duplicate&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1080 for loop &apos;loop_height&apos; in function &apos;Duplicate&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1920 for loop &apos;loop_width&apos; in function &apos;CvtColor.1&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1080 for loop &apos;loop_height&apos; in function &apos;CvtColor.1&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1920 for loop &apos;loop_width&apos; in function &apos;CvtColor&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1080 for loop &apos;loop_height&apos; in function &apos;CvtColor&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1920 for loop &apos;loop_width&apos; in function &apos;ConvertScaleAbs157&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1080 for loop &apos;loop_height&apos; in function &apos;ConvertScaleAbs157&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1920 for loop &apos;loop_width&apos; in function &apos;ConvertScaleAbs155&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1080 for loop &apos;loop_height&apos; in function &apos;ConvertScaleAbs155&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1920 for loop &apos;loop_width&apos; in function &apos;AXIvideo2Mat&apos;."/>
<Message severity="WARNING" prefix="[XFORM 203-561]" key="XFORM_LOOPBOUND_INVALID_405" tag="" content="Updating loop lower bound from 0 to 1080 for loop &apos;loop_height&apos; in function &apos;AXIvideo2Mat&apos;."/>
<Message severity="WARNING" prefix="[ANALYSIS 214-52]" key="ANALYSIS_USER_SET_DEP_242" tag="" content="Found false inter dependency for variable &apos;k_buf[0].val[3]&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:400)."/>
<Message severity="WARNING" prefix="[ANALYSIS 214-52]" key="ANALYSIS_USER_SET_DEP_242" tag="" content="Found false inter dependency for variable &apos;k_buf[0].val[4]&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:400)."/>
<Message severity="WARNING" prefix="[ANALYSIS 214-52]" key="ANALYSIS_USER_SET_DEP_242" tag="" content="Found false inter dependency for variable &apos;k_buf[1].val[4]&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:400)."/>
<Message severity="WARNING" prefix="[ANALYSIS 214-52]" key="ANALYSIS_USER_SET_DEP_242" tag="" content="Found false inter dependency for variable &apos;k_buf[2].val[4]&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:400)."/>
<Message severity="WARNING" prefix="[ANALYSIS 214-52]" key="ANALYSIS_USER_SET_DEP_242" tag="" content="Found false inter dependency for variable &apos;k_buf[1].val[5]&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:400)."/>
<Message severity="WARNING" prefix="[ANALYSIS 214-52]" key="ANALYSIS_USER_SET_DEP_242" tag="" content="Found false inter dependency for variable &apos;k_buf[1].val[3]&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:400)."/>
<Message severity="WARNING" prefix="[ANALYSIS 214-52]" key="ANALYSIS_USER_SET_DEP_242" tag="" content="Found false inter dependency for variable &apos;k_buf[2].val[3]&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:400)."/>
<Message severity="WARNING" prefix="[ANALYSIS 214-52]" key="ANALYSIS_USER_SET_DEP_242" tag="" content="Found false inter dependency for variable &apos;k_buf[2].val[5]&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:400)."/>
<Message severity="WARNING" prefix="[ANALYSIS 214-52]" key="ANALYSIS_USER_SET_DEP_242" tag="" content="Found false inter dependency for variable &apos;k_buf[0].val[5]&apos; (C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:400)."/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;sobelfoo_Block__proc&apos; to &apos;sobelfoo_Block__proc.1&apos;"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;mysobelxy_Block__pro&apos; to &apos;mysobelxy_Block__pro.1&apos;"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;mysobel153_Block__pr&apos; to &apos;mysobel153_Block__pr.1&apos;"/>
<Message severity="WARNING" prefix="[XFORM 203-631]" key="XFORM_FRN_STATUS_336" tag="" content="Renaming function &apos;mysobel152_Block__pr&apos; to &apos;mysobel152_Block__pr.1&apos;"/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_965" tag="" content="Finished Architecture Synthesis Time (s): cpu = 00:00:12 ; elapsed = 00:00:37 . Memory (MB): peak = 578.906 ; gain = 487.461"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1317" tag="" content="Starting hardware synthesis ..."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1385" tag="" content="Synthesizing &apos;edge_detect&apos; ..."/>
<Message severity="WARNING" prefix="[SYN 201-103]" key="SYN_MODULE_NAME_ILLEGAL_445" tag="" content="Legalizing function name &apos;operator().1&apos; to &apos;operator_1&apos;."/>
<Message severity="WARNING" prefix="[SYN 201-103]" key="SYN_MODULE_NAME_ILLEGAL_445" tag="" content="Legalizing function name &apos;CvtColor.1&apos; to &apos;CvtColor_1&apos;."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;AXIvideo2Mat&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_41" tag="" content="Pipelining loop &apos;loop_wait_for_start&apos;."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_44" tag="" content="Pipelining result : Target II = 1, Final II = 1, Depth = 1."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_41" tag="" content="Pipelining loop &apos;loop_width&apos;."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_44" tag="" content="Pipelining result : Target II = 1, Final II = 1, Depth = 2."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_41" tag="" content="Pipelining loop &apos;loop_wait_for_eol&apos;."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_44" tag="" content="Pipelining result : Target II = 1, Final II = 1, Depth = 1."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 36.894 seconds; current allocated memory: 515.233 MB."/>
<Message severity="INFO" prefix="[HLS 200-434]" key="HLS 200-434" tag="SDX" content="Only 3 loops out of a total 4 loops have been pipelined in this design."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.159 seconds; current allocated memory: 515.538 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;CvtColor&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_41" tag="" content="Pipelining loop &apos;loop_width&apos;."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_44" tag="" content="Pipelining result : Target II = 1, Final II = 1, Depth = 6."/>
<Message severity="WARNING" prefix="[SCHED 204-21]" key="SCHED_DELAY_EXCEEDED_76" tag="SDX_KERNEL,SCHEDULE" content="Estimated clock period (8.295ns) exceeds the target (target clock period: 6.7ns, clock uncertainty: 0.8375ns, effective delay budget: 5.8625ns)."/>
<Message severity="WARNING" prefix="[SCHED 204-21]" key="SCHED_DELAY_EXCEEDED_74" tag="SDX_KERNEL,SCHEDULE" content="The critical path in module &apos;CvtColor&apos; consists of the following:
&apos;mul&apos; operation of DSP[49] (&apos;mul_ln703_1&apos;, C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1497-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1545-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1972) [43] (3.36 ns)
&apos;add&apos; operation of DSP[49] (&apos;ret.V&apos;, C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1497-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1545-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1972) [49] (3.02 ns)
&apos;add&apos; operation (&apos;__Val2__&apos;, C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:409-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_types.h:500-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1498-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1545-&gt;C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:1972) [53] (1.92 ns)"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.37 seconds; current allocated memory: 515.789 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.149 seconds; current allocated memory: 516.006 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;Duplicate&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_41" tag="" content="Pipelining loop &apos;loop_width&apos;."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_44" tag="" content="Pipelining result : Target II = 1, Final II = 1, Depth = 2."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.406 seconds; current allocated memory: 516.120 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.137 seconds; current allocated memory: 516.334 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;Filter2D&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_41" tag="" content="Pipelining loop &apos;loop_width&apos;."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_44" tag="" content="Pipelining result : Target II = 1, Final II = 1, Depth = 9."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.614 seconds; current allocated memory: 518.028 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.428 seconds; current allocated memory: 519.589 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;Sobel154&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.476 seconds; current allocated memory: 519.781 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.157 seconds; current allocated memory: 519.902 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;operator_1&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_46" tag="" content="Pipelining function &apos;operator().1&apos;."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_44" tag="" content="Pipelining result : Target II = 1, Final II = 1, Depth = 6."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.31 seconds; current allocated memory: 520.423 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.2 seconds; current allocated memory: 520.890 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;ConvertScaleAbs155&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_41" tag="" content="Pipelining loop &apos;loop_width&apos;."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_44" tag="" content="Pipelining result : Target II = 1, Final II = 1, Depth = 18."/>
<Message severity="WARNING" prefix="[SCHED 204-21]" key="SCHED_DELAY_EXCEEDED_76" tag="SDX_KERNEL,SCHEDULE" content="Estimated clock period (6.562ns) exceeds the target (target clock period: 6.7ns, clock uncertainty: 0.8375ns, effective delay budget: 5.8625ns)."/>
<Message severity="WARNING" prefix="[SCHED 204-21]" key="SCHED_DELAY_EXCEEDED_74" tag="SDX_KERNEL,SCHEDULE" content="The critical path in module &apos;ConvertScaleAbs155&apos; consists of the following:
&apos;sitodp&apos; operation (&apos;x&apos;, C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) [41] (6.56 ns)"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.448 seconds; current allocated memory: 521.229 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.244 seconds; current allocated memory: 521.633 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;mysobel152&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.355 seconds; current allocated memory: 521.960 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.337 seconds; current allocated memory: 522.350 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;Sobel156&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.468 seconds; current allocated memory: 522.817 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.163 seconds; current allocated memory: 522.937 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;ConvertScaleAbs157&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_41" tag="" content="Pipelining loop &apos;loop_width&apos;."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_44" tag="" content="Pipelining result : Target II = 1, Final II = 1, Depth = 18."/>
<Message severity="WARNING" prefix="[SCHED 204-21]" key="SCHED_DELAY_EXCEEDED_76" tag="SDX_KERNEL,SCHEDULE" content="Estimated clock period (6.562ns) exceeds the target (target clock period: 6.7ns, clock uncertainty: 0.8375ns, effective delay budget: 5.8625ns)."/>
<Message severity="WARNING" prefix="[SCHED 204-21]" key="SCHED_DELAY_EXCEEDED_74" tag="SDX_KERNEL,SCHEDULE" content="The critical path in module &apos;ConvertScaleAbs157&apos; consists of the following:
&apos;sitodp&apos; operation (&apos;x&apos;, C:/Xilinx/Vivado/2019.1/common/technology/autopilot/hls/hls_video_imgproc.h:2533) [41] (6.56 ns)"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.472 seconds; current allocated memory: 523.300 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.251 seconds; current allocated memory: 523.673 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;mysobel153&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.367 seconds; current allocated memory: 524.036 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.334 seconds; current allocated memory: 524.390 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;arithm_pro&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_41" tag="" content="Pipelining loop &apos;loop_width&apos;."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_44" tag="" content="Pipelining result : Target II = 1, Final II = 1, Depth = 3."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.706 seconds; current allocated memory: 525.007 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.161 seconds; current allocated memory: 525.282 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;AddWeighted&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.164 seconds; current allocated memory: 525.322 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.139 seconds; current allocated memory: 525.418 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;mysobelxy&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.144 seconds; current allocated memory: 525.502 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 1.172 seconds; current allocated memory: 527.189 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;CvtColor_1&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_41" tag="" content="Pipelining loop &apos;loop_width&apos;."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_44" tag="" content="Pipelining result : Target II = 1, Final II = 1, Depth = 2."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.95 seconds; current allocated memory: 528.177 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.152 seconds; current allocated memory: 528.321 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;Mat2AXIvideo&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_41" tag="" content="Pipelining loop &apos;loop_width&apos;."/>
<Message severity="INFO" prefix="[SCHED 204-61]" key="SCHED_PIPELINING_STATUS_44" tag="" content="Pipelining result : Target II = 1, Final II = 1, Depth = 3."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.262 seconds; current allocated memory: 528.414 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.151 seconds; current allocated memory: 528.583 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;sobelfoo&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.161 seconds; current allocated memory: 528.731 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.98 seconds; current allocated memory: 530.147 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1477" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-42]" key="HLS_42_1438" tag="" content="-- Implementing module &apos;edge_detect&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1478" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_597" tag="" content="Starting scheduling ..."/>
<Message severity="INFO" prefix="[SCHED 204-11]" key="SCHED_SCHED_STATUS_596" tag="" content="Finished scheduling."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.776 seconds; current allocated memory: 531.089 MB."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_926" tag="" content="Starting micro-architecture generation ..."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_698" tag="" content="Performing variable lifetime analysis."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_697" tag="" content="Exploring resource sharing."/>
<Message severity="INFO" prefix="[BIND 205-101]" key="BIND_101_693" tag="" content="Binding ..."/>
<Message severity="INFO" prefix="[BIND 205-100]" key="BIND_100_925" tag="" content="Finished micro-architecture generation."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.356 seconds; current allocated memory: 531.455 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;AXIvideo2Mat&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;AXIvideo2Mat&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.791 seconds; current allocated memory: 533.224 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;CvtColor&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;edge_detect_mul_mul_22ns_8ns_29_1_1&apos; to &apos;edge_detect_mul_mbkb&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;edge_detect_mac_muladd_20ns_8ns_29ns_29_1_1&apos; to &apos;edge_detect_mac_mcud&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;edge_detect_mac_muladd_23ns_8ns_29ns_30_1_1&apos; to &apos;edge_detect_mac_mdEe&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_855" tag="" content="Generating core module &apos;edge_detect_mac_mcud&apos;: 1 instance(s)."/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_855" tag="" content="Generating core module &apos;edge_detect_mac_mdEe&apos;: 1 instance(s)."/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_855" tag="" content="Generating core module &apos;edge_detect_mul_mbkb&apos;: 1 instance(s)."/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;CvtColor&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.412 seconds; current allocated memory: 533.831 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;Duplicate&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;Duplicate&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.312 seconds; current allocated memory: 534.187 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;Filter2D&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;Filter2D_k_buf_0_val_3&apos; to &apos;Filter2D_k_buf_0_eOg&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;Filter2D_k_buf_0_val_4&apos; to &apos;Filter2D_k_buf_0_fYi&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;Filter2D_k_buf_0_val_5&apos; to &apos;Filter2D_k_buf_0_g8j&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;Filter2D_k_buf_1_val_3&apos; to &apos;Filter2D_k_buf_1_hbi&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;Filter2D_k_buf_1_val_4&apos; to &apos;Filter2D_k_buf_1_ibs&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;Filter2D_k_buf_1_val_5&apos; to &apos;Filter2D_k_buf_1_jbC&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;Filter2D_k_buf_2_val_3&apos; to &apos;Filter2D_k_buf_2_kbM&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;Filter2D_k_buf_2_val_4&apos; to &apos;Filter2D_k_buf_2_lbW&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;Filter2D_k_buf_2_val_5&apos; to &apos;Filter2D_k_buf_2_mb6&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;edge_detect_mux_32_8_1_1&apos; to &apos;edge_detect_mux_3ncg&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_855" tag="" content="Generating core module &apos;edge_detect_mux_3ncg&apos;: 18 instance(s)."/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;Filter2D&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.472 seconds; current allocated memory: 537.312 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;Sobel154&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;Sobel154&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 1.865 seconds; current allocated memory: 538.446 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;operator_1&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;operator_1&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.333 seconds; current allocated memory: 539.492 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;ConvertScaleAbs155&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;edge_detect_sitodp_32ns_64_8_1&apos; to &apos;edge_detect_sitodocq&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_855" tag="" content="Generating core module &apos;edge_detect_sitodocq&apos;: 3 instance(s)."/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;ConvertScaleAbs155&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.767 seconds; current allocated memory: 540.601 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;mysobel152&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;start_for_ConvertScaleAbs155_U0&apos; to &apos;start_for_ConvertpcA&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;mysobel152&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.554 seconds; current allocated memory: 541.257 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;Sobel156&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;Sobel156&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.553 seconds; current allocated memory: 541.970 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;ConvertScaleAbs157&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_855" tag="" content="Generating core module &apos;edge_detect_sitodocq&apos;: 3 instance(s)."/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;ConvertScaleAbs157&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.362 seconds; current allocated memory: 542.835 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;mysobel153&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="WARNING" prefix="[RTGEN 206-101]" key="RTGEN_101_751" tag="" content="RTL name &apos;fifo_w8_d2_A&apos; is changed to &apos;fifo_w8_d2_A_x&apos; due to conflict."/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;start_for_ConvertScaleAbs157_U0&apos; to &apos;start_for_ConvertqcK&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;mysobel153&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.566 seconds; current allocated memory: 543.470 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;arithm_pro&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;arithm_pro&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.566 seconds; current allocated memory: 544.343 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;AddWeighted&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;AddWeighted&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.377 seconds; current allocated memory: 544.703 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;mysobelxy&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="WARNING" prefix="[RTGEN 206-101]" key="RTGEN_101_751" tag="" content="RTL name &apos;fifo_w8_d2_A&apos; is changed to &apos;fifo_w8_d2_A_x0&apos; due to conflict."/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;start_for_mysobel152_U0&apos; to &apos;start_for_mysobelrcU&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;start_for_mysobel153_U0&apos; to &apos;start_for_mysobelsc4&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;start_for_AddWeighted_U0&apos; to &apos;start_for_AddWeigtde&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;mysobelxy&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.29 seconds; current allocated memory: 545.485 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;CvtColor_1&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;CvtColor_1&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 1.009 seconds; current allocated memory: 546.614 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;Mat2AXIvideo&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;Mat2AXIvideo&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.292 seconds; current allocated memory: 547.412 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;sobelfoo&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="WARNING" prefix="[RTGEN 206-101]" key="RTGEN_101_751" tag="" content="RTL name &apos;fifo_w8_d2_A&apos; is changed to &apos;fifo_w8_d2_A_x1&apos; due to conflict."/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;start_for_CvtColor_U0&apos; to &apos;start_for_CvtColoudo&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;start_for_mysobelxy_U0&apos; to &apos;start_for_mysobelvdy&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;start_for_CvtColor_1_U0&apos; to &apos;start_for_CvtColowdI&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[SYN 201-210]" key="SYN_210_889" tag="" content="Renamed object name &apos;start_for_Mat2AXIvideo_U0&apos; to &apos;start_for_Mat2AXIxdS&apos; due to the length limit 20"/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;sobelfoo&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 0.405 seconds; current allocated memory: 548.205 MB."/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1483" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1484" tag="" content="-- Generating RTL for module &apos;edge_detect&apos;"/>
<Message severity="INFO" prefix="[HLS 200-10]" key="HLS_10_1485" tag="" content="----------------------------------------------------------------"/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on port &apos;edge_detect/stream_in_V_data_V&apos; to &apos;axis&apos; (register, both mode)."/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on port &apos;edge_detect/stream_in_V_keep_V&apos; to &apos;axis&apos; (register, both mode)."/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on port &apos;edge_detect/stream_in_V_strb_V&apos; to &apos;axis&apos; (register, both mode)."/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on port &apos;edge_detect/stream_in_V_user_V&apos; to &apos;axis&apos; (register, both mode)."/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on port &apos;edge_detect/stream_in_V_last_V&apos; to &apos;axis&apos; (register, both mode)."/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on port &apos;edge_detect/stream_in_V_id_V&apos; to &apos;axis&apos; (register, both mode)."/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on port &apos;edge_detect/stream_in_V_dest_V&apos; to &apos;axis&apos; (register, both mode)."/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on port &apos;edge_detect/stream_out_V_data_V&apos; to &apos;axis&apos; (register, both mode)."/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on port &apos;edge_detect/stream_out_V_keep_V&apos; to &apos;axis&apos; (register, both mode)."/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on port &apos;edge_detect/stream_out_V_strb_V&apos; to &apos;axis&apos; (register, both mode)."/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on port &apos;edge_detect/stream_out_V_user_V&apos; to &apos;axis&apos; (register, both mode)."/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on port &apos;edge_detect/stream_out_V_last_V&apos; to &apos;axis&apos; (register, both mode)."/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on port &apos;edge_detect/stream_out_V_id_V&apos; to &apos;axis&apos; (register, both mode)."/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on port &apos;edge_detect/stream_out_V_dest_V&apos; to &apos;axis&apos; (register, both mode)."/>
<Message severity="INFO" prefix="[RTGEN 206-500]" key="PREPROC_IO_COMP_CONFLICT_1950" tag="" content="Setting interface mode on function &apos;edge_detect&apos; to &apos;ap_ctrl_hs&apos;."/>
<Message severity="INFO" prefix="[RTGEN 206-100]" key="RTGEN_100_856" tag="" content="Finished creating RTL model for &apos;edge_detect&apos;."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_966" tag="" content=" Elapsed time: 1.233 seconds; current allocated memory: 549.258 MB."/>
<Message severity="INFO" prefix="[RTMG 210-278]" key="RTMG_278_1790" tag="" content="Implementing memory &apos;Filter2D_k_buf_0_eOg_ram (RAM)&apos; using block RAMs."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img0_data_stream_0_s_U(fifo_w8_d2_A)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img0_data_stream_1_s_U(fifo_w8_d2_A)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img0_data_stream_2_s_U(fifo_w8_d2_A)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;start_for_ConvertpcA_U(start_for_ConvertpcA)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img0_data_stream_0_s_U(fifo_w8_d2_A_x)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img0_data_stream_1_s_U(fifo_w8_d2_A_x)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img0_data_stream_2_s_U(fifo_w8_d2_A_x)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;start_for_ConvertqcK_U(start_for_ConvertqcK)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img0_data_stream_0_s_U(fifo_w8_d2_A_x0)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img0_data_stream_1_s_U(fifo_w8_d2_A_x0)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img0_data_stream_2_s_U(fifo_w8_d2_A_x0)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img1_data_stream_0_s_U(fifo_w8_d2_A_x0)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img1_data_stream_1_s_U(fifo_w8_d2_A_x0)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img1_data_stream_2_s_U(fifo_w8_d2_A_x0)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img2_data_stream_0_s_U(fifo_w8_d2_A_x0)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img2_data_stream_1_s_U(fifo_w8_d2_A_x0)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img2_data_stream_2_s_U(fifo_w8_d2_A_x0)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img3_data_stream_0_s_U(fifo_w8_d2_A_x0)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img3_data_stream_1_s_U(fifo_w8_d2_A_x0)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img3_data_stream_2_s_U(fifo_w8_d2_A_x0)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;start_for_mysobelrcU_U(start_for_mysobelrcU)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;start_for_mysobelsc4_U(start_for_mysobelsc4)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;start_for_AddWeigtde_U(start_for_AddWeigtde)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img0_data_stream_0_s_U(fifo_w8_d2_A_x1)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img0_data_stream_1_s_U(fifo_w8_d2_A_x1)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img0_data_stream_2_s_U(fifo_w8_d2_A_x1)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img1_data_stream_0_s_U(fifo_w8_d2_A_x1)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img1_data_stream_1_s_U(fifo_w8_d2_A_x1)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img1_data_stream_2_s_U(fifo_w8_d2_A_x1)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img2_data_stream_0_s_U(fifo_w8_d2_A_x1)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img2_data_stream_1_s_U(fifo_w8_d2_A_x1)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img2_data_stream_2_s_U(fifo_w8_d2_A_x1)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img4_data_stream_0_s_U(fifo_w8_d2_A_x1)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img4_data_stream_1_s_U(fifo_w8_d2_A_x1)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;img4_data_stream_2_s_U(fifo_w8_d2_A_x1)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;start_for_CvtColoudo_U(start_for_CvtColoudo)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;start_for_mysobelvdy_U(start_for_mysobelvdy)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;start_for_CvtColowdI_U(start_for_CvtColowdI)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[RTMG 210-285]" key="RTMG_285_1799" tag="" content="Implementing FIFO &apos;start_for_Mat2AXIxdS_U(start_for_Mat2AXIxdS)&apos; using Shift Registers."/>
<Message severity="INFO" prefix="[HLS 200-111]" key="HLS_111_965" tag="" content="Finished generating all RTL models Time (s): cpu = 00:00:37 ; elapsed = 00:01:07 . Memory (MB): peak = 649.840 ; gain = 558.395"/>
<Message severity="INFO" prefix="[VHDL 208-304]" key="VHDL_304_1066" tag="" content="Generating VHDL RTL for edge_detect."/>
<Message severity="INFO" prefix="[VLOG 209-307]" key="VLOG_307_1067" tag="" content="Generating Verilog RTL for edge_detect."/>
</Messages>